Files
fpga-stuff/gate/gate_tb.v

33 lines
369 B
Verilog

`timescale 10ns/1ns
module blinky_tb;
reg CLK;
wire LED;
blinky dut (
.CLK (CLK),
.PIN_24 (PIN_24)
);
initial CLK=0;
always #1 CLK=~CLK;
//-- Begin test
initial begin
//-- Set the dumpfile
$dumpfile("blinky_tb.vcd");
//-- Dump everything into the dumpfile
$dumpvars(0, blinky_tb);
//-- End after 10 time units
# 100000 $finish;
end
endmodule