Files
fpga-stuff/blinky/Makefile
2025-08-11 08:33:17 -07:00

24 lines
562 B
Makefile

all: sim synth
sim: blinky_tb.vcd
synth: blinky.bin
prog: blinky.bin
sudo tinyprog -p blinky.bin
blinky_tb.vcd: blinky.v sim.v blinky_tb.v
iverilog -o blinky_tb.out blinky.v blinky_tb.v sim.v
./blinky_tb.out
gtkwave blinky_tb.vcd & # blinky_tb.gtkw &
blinky.bin: blinky.v tinyfpga-bx.pcf
yosys -p "synth_ice40 -top blinky -json blinky.json" blinky.v
nextpnr-ice40 --lp8k --package cm81 --json blinky.json --pcf tinyfpga-bx.pcf --asc blinky.txt
icepack blinky.txt blinky.bin
clean:
rm -f *.bin *.txt *.blif *.out *.vcd *~ *.json
.PHONY: all clean