mirror of
https://github.com/garrettsworkshop/RAM2GS.git
synced 2025-10-31 11:36:46 -07:00
SPI/LCMXO RC
This commit is contained in:
88
CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC_tcl.html
Normal file
88
CPLD/LCMXO2-1200HC/RAM2GS_LCMXO2_1200HC_tcl.html
Normal file
@@ -0,0 +1,88 @@
|
||||
<HTML>
|
||||
<HEAD><TITLE>Lattice TCL Log</TITLE>
|
||||
<STYLE TYPE="text/css">
|
||||
<!--
|
||||
body,pre{
|
||||
font-family:'Courier New', monospace;
|
||||
color: #000000;
|
||||
font-size:88%;
|
||||
background-color: #ffffff;
|
||||
}
|
||||
h1 {
|
||||
font-weight: bold;
|
||||
margin-top: 24px;
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
margin-top: 18px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.90em;
|
||||
}
|
||||
h3 {
|
||||
font-weight: bold;
|
||||
margin-top: 12px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
p {
|
||||
font-size:78%;
|
||||
}
|
||||
P.Table {
|
||||
margin-top: 4px;
|
||||
margin-bottom: 4px;
|
||||
margin-right: 4px;
|
||||
margin-left: 4px;
|
||||
}
|
||||
table
|
||||
{
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
border-collapse: collapse;
|
||||
}
|
||||
th {
|
||||
font-weight:bold;
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
text-align:left;
|
||||
font-size:78%;
|
||||
}
|
||||
td {
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
.fail
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
{
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
}
|
||||
@@ -1,6 +1,6 @@
|
||||
NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation *
|
||||
NOTE All Rights Reserved *
|
||||
NOTE DATE CREATED: Thu Oct 19 23:51:27 2023 *
|
||||
NOTE DATE CREATED: Sat Nov 18 02:06:32 2023 *
|
||||
NOTE DESIGN NAME: RAM2GS *
|
||||
NOTE DEVICE NAME: LCMXO2-1200HC-4TQFP100 *
|
||||
NOTE PIN ASSIGNMENTS *
|
||||
@@ -13,7 +13,7 @@ NOTE PINS nRCAS : 52 : out *
|
||||
NOTE PINS nRRAS : 54 : out *
|
||||
NOTE PINS nRWE : 49 : out *
|
||||
NOTE PINS RCKE : 53 : out *
|
||||
NOTE PINS RCLKout : 62 : out *
|
||||
NOTE PINS RCLKout : 60 : out *
|
||||
NOTE PINS RCLK : 63 : in *
|
||||
NOTE PINS nRCS : 57 : out *
|
||||
NOTE PINS RD[7] : 43 : inout *
|
||||
@@ -25,7 +25,7 @@ NOTE PINS RD[2] : 38 : inout *
|
||||
NOTE PINS RD[1] : 37 : inout *
|
||||
NOTE PINS RA[11] : 59 : out *
|
||||
NOTE PINS RA[10] : 64 : out *
|
||||
NOTE PINS RA[9] : 47 : out *
|
||||
NOTE PINS RA[9] : 62 : out *
|
||||
NOTE PINS RA[8] : 65 : out *
|
||||
NOTE PINS RA[7] : 75 : out *
|
||||
NOTE PINS RA[6] : 68 : out *
|
||||
@@ -35,7 +35,7 @@ NOTE PINS RA[3] : 71 : out *
|
||||
NOTE PINS RA[2] : 69 : out *
|
||||
NOTE PINS RA[1] : 67 : out *
|
||||
NOTE PINS RA[0] : 66 : out *
|
||||
NOTE PINS RBA[1] : 60 : out *
|
||||
NOTE PINS RBA[1] : 47 : out *
|
||||
NOTE PINS RBA[0] : 58 : out *
|
||||
NOTE PINS LED : 34 : out *
|
||||
NOTE PINS nFWE : 15 : in *
|
||||
|
||||
@@ -1,7 +1,7 @@
|
||||
----------------------------------------------------------------------
|
||||
Report for cell RAM2GS.verilog
|
||||
|
||||
Register bits: 110 of 1280 (9%)
|
||||
Register bits: 109 of 1280 (9%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 64
|
||||
Cell usage:
|
||||
@@ -11,7 +11,7 @@ I/O cells: 64
|
||||
EFB 1 100.0
|
||||
FD1P3AX 25 100.0
|
||||
FD1P3IX 2 100.0
|
||||
FD1S3AX 54 100.0
|
||||
FD1S3AX 53 100.0
|
||||
FD1S3IX 4 100.0
|
||||
GSR 1 100.0
|
||||
IB 25 100.0
|
||||
@@ -22,22 +22,23 @@ I/O cells: 64
|
||||
OFS1P3BX 4 100.0
|
||||
OFS1P3DX 11 100.0
|
||||
OFS1P3JX 1 100.0
|
||||
ORCALUT4 203 100.0
|
||||
ORCALUT4 212 100.0
|
||||
PFUMX 2 100.0
|
||||
PUR 1 100.0
|
||||
VHI 2 100.0
|
||||
VLO 2 100.0
|
||||
SUB MODULES
|
||||
REFB 1 100.0
|
||||
|
||||
TOTAL 403
|
||||
TOTAL 413
|
||||
----------------------------------------------------------------------
|
||||
Report for cell REFB.netlist
|
||||
Instance path: ufmefb
|
||||
Cell usage:
|
||||
cell count Res Usage(%)
|
||||
EFB 1 100.0
|
||||
ORCALUT4 1 0.5
|
||||
ORCALUT4 2 0.9
|
||||
VHI 1 50.0
|
||||
VLO 1 50.0
|
||||
|
||||
TOTAL 4
|
||||
TOTAL 5
|
||||
|
||||
@@ -4,7 +4,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Thu Oct 19 23:51:23 2023
|
||||
Sat Nov 18 02:06:29 2023
|
||||
|
||||
|
||||
Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC -w -jedec -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml RAM2GS_LCMXO2_1200HC_impl1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf
|
||||
@@ -82,5 +82,5 @@ Available General Purpose Flash Memory: 511 Pages (Page 0 to Page 510).
|
||||
Initialized UFM Pages: 321 Pages (Page 190 to Page 510).
|
||||
|
||||
Total CPU Time: 3 secs
|
||||
Total REAL Time: 4 secs
|
||||
Peak Memory Usage: 275 MB
|
||||
Total REAL Time: 3 secs
|
||||
Peak Memory Usage: 274 MB
|
||||
|
||||
Binary file not shown.
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
@@ -15,20 +15,20 @@ Target Vendor: LATTICE
|
||||
Target Device: LCMXO2-1200HCTQFP100
|
||||
Target Performance: 4
|
||||
Mapper: xo2c00, version: Diamond (64-bit) 3.12.1.454
|
||||
Mapped on: 10/19/23 23:50:56
|
||||
Mapped on: 11/18/23 02:05:52
|
||||
|
||||
Design Summary
|
||||
--------------
|
||||
|
||||
Number of registers: 110 out of 1520 (7%)
|
||||
PFU registers: 85 out of 1280 (7%)
|
||||
Number of registers: 109 out of 1520 (7%)
|
||||
PFU registers: 84 out of 1280 (7%)
|
||||
PIO registers: 25 out of 240 (10%)
|
||||
Number of SLICEs: 115 out of 640 (18%)
|
||||
SLICEs as Logic/ROM: 115 out of 640 (18%)
|
||||
Number of SLICEs: 120 out of 640 (19%)
|
||||
SLICEs as Logic/ROM: 120 out of 640 (19%)
|
||||
SLICEs as RAM: 0 out of 480 (0%)
|
||||
SLICEs as Carry: 10 out of 640 (2%)
|
||||
Number of LUT4s: 229 out of 1280 (18%)
|
||||
Number used as logic LUTs: 209
|
||||
Number of LUT4s: 238 out of 1280 (19%)
|
||||
Number used as logic LUTs: 218
|
||||
Number used as distributed RAM: 0
|
||||
Number used as ripple logic: 20
|
||||
Number used as shift registers: 0
|
||||
@@ -66,7 +66,7 @@ Design Summary
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 10/19/23 23:50:56
|
||||
Design: RAM2GS Date: 11/18/23 02:05:52
|
||||
|
||||
Design Summary (cont)
|
||||
---------------------
|
||||
@@ -82,13 +82,13 @@ Design Summary (cont)
|
||||
Number of clocks: 4
|
||||
Net PHI2_c: 20 loads, 9 rising, 11 falling (Driver: PIO PHI2 )
|
||||
Net RCLK_c: 48 loads, 48 rising, 0 falling (Driver: PIO RCLK )
|
||||
Net nCRAS_c: 10 loads, 0 rising, 10 falling (Driver: PIO nCRAS )
|
||||
Net nCRAS_c: 9 loads, 0 rising, 9 falling (Driver: PIO nCRAS )
|
||||
Net nCCAS_c: 8 loads, 0 rising, 8 falling (Driver: PIO nCCAS )
|
||||
Number of Clock Enables: 5
|
||||
Net N_103: 1 loads, 1 LSLICEs
|
||||
Net wb_cyc_stb_2_sqmuxa_i_0_0: 1 loads, 1 LSLICEs
|
||||
Net XOR8MEG18: 5 loads, 5 LSLICEs
|
||||
Net N_122: 9 loads, 9 LSLICEs
|
||||
Net N_244_i: 2 loads, 2 LSLICEs
|
||||
Net N_126_i: 9 loads, 9 LSLICEs
|
||||
Net N_261_i: 2 loads, 2 LSLICEs
|
||||
Net CmdUFMData_1_sqmuxa: 1 loads, 1 LSLICEs
|
||||
Number of LSRs: 5
|
||||
Net RA10s_i: 1 loads, 0 LSLICEs
|
||||
@@ -98,16 +98,16 @@ Design Summary (cont)
|
||||
Net RASr2: 2 loads, 2 LSLICEs
|
||||
Number of nets driven by tri-state buffers: 0
|
||||
Top 10 highest fanout non-clock nets:
|
||||
Net InitReady: 31 loads
|
||||
Net FS[12]: 23 loads
|
||||
Net FS[13]: 23 loads
|
||||
Net InitReady: 40 loads
|
||||
Net FS[13]: 22 loads
|
||||
Net FS[11]: 21 loads
|
||||
Net N_132: 20 loads
|
||||
Net FS[14]: 18 loads
|
||||
Net FS[10]: 16 loads
|
||||
Net FS[9]: 14 loads
|
||||
Net FS[12]: 19 loads
|
||||
Net FS[14]: 19 loads
|
||||
Net FS[10]: 18 loads
|
||||
Net FS[9]: 17 loads
|
||||
Net Ready: 14 loads
|
||||
Net Ready_fast: 14 loads
|
||||
Net CO0: 12 loads
|
||||
|
||||
|
||||
|
||||
@@ -132,7 +132,7 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 10/19/23 23:50:56
|
||||
Design: RAM2GS Date: 11/18/23 02:05:52
|
||||
|
||||
IO (PIO) Attributes
|
||||
-------------------
|
||||
@@ -198,7 +198,7 @@ IO (PIO) Attributes
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 10/19/23 23:50:56
|
||||
Design: RAM2GS Date: 11/18/23 02:05:52
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
@@ -264,7 +264,7 @@ IO (PIO) Attributes (cont)
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 10/19/23 23:50:56
|
||||
Design: RAM2GS Date: 11/18/23 02:05:52
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
@@ -330,7 +330,7 @@ Signal ufmefb/I2C1IRQO undriven or does not drive anything - clipped.
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 10/19/23 23:50:56
|
||||
Design: RAM2GS Date: 11/18/23 02:05:52
|
||||
|
||||
Removed logic (cont)
|
||||
--------------------
|
||||
@@ -396,7 +396,7 @@ Embedded Functional Block Connection Summary
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 10/19/23 23:50:56
|
||||
Design: RAM2GS Date: 11/18/23 02:05:52
|
||||
|
||||
Embedded Functional Block Connection Summary (cont)
|
||||
---------------------------------------------------
|
||||
@@ -435,7 +435,7 @@ Run Time and Memory Usage
|
||||
|
||||
Total CPU Time: 0 secs
|
||||
Total REAL Time: 0 secs
|
||||
Peak Memory Usage: 63 MB
|
||||
Peak Memory Usage: 64 MB
|
||||
|
||||
|
||||
|
||||
|
||||
@@ -6,7 +6,7 @@ Performance Grade: 4
|
||||
PACKAGE: TQFP100
|
||||
Package Status: Final Version 1.44
|
||||
|
||||
Thu Oct 19 23:51:05 2023
|
||||
Sat Nov 18 02:06:05 2023
|
||||
|
||||
Pinout by Port Name:
|
||||
+-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
|
||||
@@ -53,12 +53,12 @@ Pinout by Port Name:
|
||||
| RA[6] | 68/1 | LVCMOS33_OUT | PR4B | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[7] | 75/1 | LVCMOS33_OUT | PR2A | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[8] | 65/1 | LVCMOS33_OUT | PR5A | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[9] | 47/2 | LVCMOS33_OUT | PB18D | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[9] | 62/1 | LVCMOS33_OUT | PR5D | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RBA[0] | 58/1 | LVCMOS33_OUT | PR9A | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RBA[1] | 60/1 | LVCMOS33_OUT | PR8C | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RBA[1] | 47/2 | LVCMOS33_OUT | PB18D | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RCKE | 53/1 | LVCMOS33_OUT | PR9D | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RCLK | 63/1 | LVCMOS33_IN | PR5C | | | CLAMP:ON HYSTERESIS:SMALL |
|
||||
| RCLKout | 62/1 | LVCMOS33_OUT | PR5D | | | DRIVE:4mA SLEW:FAST |
|
||||
| RCLKout | 60/1 | LVCMOS33_OUT | PR8C | | | DRIVE:24mA SLEW:FAST |
|
||||
| RDQMH | 51/1 | LVCMOS33_OUT | PR10D | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RDQML | 48/2 | LVCMOS33_OUT | PB20C | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RD[0] | 36/2 | LVCMOS33_BIDI | PB11C | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
@@ -135,7 +135,7 @@ Pinout by Pin Number:
|
||||
| 42/2 | RD[6] | LOCATED | LVCMOS33_BIDI | PB18A | | | |
|
||||
| 43/2 | RD[7] | LOCATED | LVCMOS33_BIDI | PB18B | | | |
|
||||
| 45/2 | unused, PULL:DOWN | | | PB18C | | | |
|
||||
| 47/2 | RA[9] | LOCATED | LVCMOS33_OUT | PB18D | | | |
|
||||
| 47/2 | RBA[1] | LOCATED | LVCMOS33_OUT | PB18D | | | |
|
||||
| 48/2 | RDQML | LOCATED | LVCMOS33_OUT | PB20C | SN | | |
|
||||
| 49/2 | nRWE | LOCATED | LVCMOS33_OUT | PB20D | SI/SISPI | | |
|
||||
| 51/1 | RDQMH | LOCATED | LVCMOS33_OUT | PR10D | DQ1 | | |
|
||||
@@ -145,9 +145,9 @@ Pinout by Pin Number:
|
||||
| 57/1 | nRCS | LOCATED | LVCMOS33_OUT | PR9B | DQ1 | | |
|
||||
| 58/1 | RBA[0] | LOCATED | LVCMOS33_OUT | PR9A | DQ1 | | |
|
||||
| 59/1 | RA[11] | LOCATED | LVCMOS33_OUT | PR8D | DQ1 | | |
|
||||
| 60/1 | RBA[1] | LOCATED | LVCMOS33_OUT | PR8C | DQ1 | | |
|
||||
| 60/1 | RCLKout | LOCATED | LVCMOS33_OUT | PR8C | DQ1 | | |
|
||||
| 61/1 | unused, PULL:DOWN | | | PR8A | DQS1 | | |
|
||||
| 62/1 | RCLKout | LOCATED | LVCMOS33_OUT | PR5D | PCLKC1_0/DQ0 | | |
|
||||
| 62/1 | RA[9] | LOCATED | LVCMOS33_OUT | PR5D | PCLKC1_0/DQ0 | | |
|
||||
| 63/1 | RCLK | LOCATED | LVCMOS33_IN | PR5C | PCLKT1_0/DQ0 | | |
|
||||
| 64/1 | RA[10] | LOCATED | LVCMOS33_OUT | PR5B | DQS0N | | |
|
||||
| 65/1 | RA[8] | LOCATED | LVCMOS33_OUT | PR5A | DQS0 | | |
|
||||
@@ -265,12 +265,12 @@ LOCATE COMP "RA[5]" SITE "70";
|
||||
LOCATE COMP "RA[6]" SITE "68";
|
||||
LOCATE COMP "RA[7]" SITE "75";
|
||||
LOCATE COMP "RA[8]" SITE "65";
|
||||
LOCATE COMP "RA[9]" SITE "47";
|
||||
LOCATE COMP "RA[9]" SITE "62";
|
||||
LOCATE COMP "RBA[0]" SITE "58";
|
||||
LOCATE COMP "RBA[1]" SITE "60";
|
||||
LOCATE COMP "RBA[1]" SITE "47";
|
||||
LOCATE COMP "RCKE" SITE "53";
|
||||
LOCATE COMP "RCLK" SITE "63";
|
||||
LOCATE COMP "RCLKout" SITE "62";
|
||||
LOCATE COMP "RCLKout" SITE "60";
|
||||
LOCATE COMP "RDQMH" SITE "51";
|
||||
LOCATE COMP "RDQML" SITE "48";
|
||||
LOCATE COMP "RD[0]" SITE "36";
|
||||
@@ -299,5 +299,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Thu Oct 19 23:51:08 2023
|
||||
Sat Nov 18 02:06:09 2023
|
||||
|
||||
|
||||
@@ -1,5 +1,5 @@
|
||||
SCHEMATIC START ;
|
||||
# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Thu Oct 19 23:50:57 2023
|
||||
# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Sat Nov 18 02:05:53 2023
|
||||
|
||||
SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ;
|
||||
LOCATE COMP "RD[0]" SITE "36" ;
|
||||
@@ -11,7 +11,7 @@ LOCATE COMP "nRCAS" SITE "52" ;
|
||||
LOCATE COMP "nRRAS" SITE "54" ;
|
||||
LOCATE COMP "nRWE" SITE "49" ;
|
||||
LOCATE COMP "RCKE" SITE "53" ;
|
||||
LOCATE COMP "RCLKout" SITE "62" ;
|
||||
LOCATE COMP "RCLKout" SITE "60" ;
|
||||
LOCATE COMP "RCLK" SITE "63" ;
|
||||
LOCATE COMP "nRCS" SITE "57" ;
|
||||
LOCATE COMP "RD[7]" SITE "43" ;
|
||||
@@ -23,7 +23,7 @@ LOCATE COMP "RD[2]" SITE "38" ;
|
||||
LOCATE COMP "RD[1]" SITE "37" ;
|
||||
LOCATE COMP "RA[11]" SITE "59" ;
|
||||
LOCATE COMP "RA[10]" SITE "64" ;
|
||||
LOCATE COMP "RA[9]" SITE "47" ;
|
||||
LOCATE COMP "RA[9]" SITE "62" ;
|
||||
LOCATE COMP "RA[8]" SITE "65" ;
|
||||
LOCATE COMP "RA[7]" SITE "75" ;
|
||||
LOCATE COMP "RA[6]" SITE "68" ;
|
||||
@@ -33,7 +33,7 @@ LOCATE COMP "RA[3]" SITE "71" ;
|
||||
LOCATE COMP "RA[2]" SITE "69" ;
|
||||
LOCATE COMP "RA[1]" SITE "67" ;
|
||||
LOCATE COMP "RA[0]" SITE "66" ;
|
||||
LOCATE COMP "RBA[1]" SITE "60" ;
|
||||
LOCATE COMP "RBA[1]" SITE "47" ;
|
||||
LOCATE COMP "RBA[0]" SITE "58" ;
|
||||
LOCATE COMP "LED" SITE "34" ;
|
||||
LOCATE COMP "nFWE" SITE "15" ;
|
||||
|
||||
@@ -3,7 +3,7 @@
|
||||
#OS: Windows 8 6.2
|
||||
#Hostname: ZANEMACWIN11
|
||||
|
||||
# Thu Oct 19 23:50:47 2023
|
||||
# Sat Nov 18 02:05:40 2023
|
||||
|
||||
#Implementation: impl1
|
||||
|
||||
@@ -51,19 +51,17 @@ Synopsys Verilog Compiler, Version comp202103synp2, Build 093R, Built Aug 10 202
|
||||
@I::"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v" (library work)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\REFB.v" (library work)
|
||||
Verilog syntax check successful!
|
||||
|
||||
Compiler output is up to date. No re-compile necessary
|
||||
|
||||
File \\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v changed - recompiling
|
||||
Selecting top level module RAM2GS
|
||||
@N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1601:7:1601:12|Synthesizing module ODDRXE in library work.
|
||||
Running optimization stage 1 on ODDRXE .......
|
||||
Finished optimization stage 1 on ODDRXE (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB)
|
||||
Finished optimization stage 1 on ODDRXE (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
|
||||
@N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work.
|
||||
Running optimization stage 1 on VHI .......
|
||||
Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB)
|
||||
Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
|
||||
@N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1124:7:1124:9|Synthesizing module VLO in library work.
|
||||
Running optimization stage 1 on VLO .......
|
||||
Finished optimization stage 1 on VLO (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB)
|
||||
Finished optimization stage 1 on VLO (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
|
||||
@N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1800:7:1800:9|Synthesizing module EFB in library work.
|
||||
Running optimization stage 1 on EFB .......
|
||||
Finished optimization stage 1 on EFB (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
|
||||
@@ -71,9 +69,6 @@ Finished optimization stage 1 on EFB (CPU Time 0h:00m:00s, Memory Used current:
|
||||
Running optimization stage 1 on REFB .......
|
||||
Finished optimization stage 1 on REFB (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
|
||||
@N: CG364 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v":1:7:1:12|Synthesizing module RAM2GS in library work.
|
||||
@W: CS263 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v":46:6:46:6|Port-width mismatch for port D0. The port definition is 1 bits, but the actual port connection bit width is 32. Adjust either the definition or the instantiation of this port.
|
||||
@W: CS263 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v":46:14:46:14|Port-width mismatch for port D1. The port definition is 1 bits, but the actual port connection bit width is 32. Adjust either the definition or the instantiation of this port.
|
||||
@W: CS263 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v":47:7:47:7|Port-width mismatch for port RST. The port definition is 1 bits, but the actual port connection bit width is 32. Adjust either the definition or the instantiation of this port.
|
||||
Running optimization stage 1 on RAM2GS .......
|
||||
Finished optimization stage 1 on RAM2GS (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 101MB)
|
||||
Running optimization stage 2 on RAM2GS .......
|
||||
@@ -89,12 +84,12 @@ Finished optimization stage 2 on VHI (CPU Time 0h:00m:00s, Memory Used current:
|
||||
Running optimization stage 2 on ODDRXE .......
|
||||
Finished optimization stage 2 on ODDRXE (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 96MB peak: 97MB)
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 102MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Thu Oct 19 23:50:47 2023
|
||||
# Sat Nov 18 02:05:41 2023
|
||||
|
||||
###########################################################]
|
||||
###########################################################[
|
||||
@@ -121,7 +116,7 @@ At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s;
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Thu Oct 19 23:50:48 2023
|
||||
# Sat Nov 18 02:05:41 2023
|
||||
|
||||
###########################################################]
|
||||
|
||||
@@ -136,7 +131,7 @@ At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Thu Oct 19 23:50:48 2023
|
||||
# Sat Nov 18 02:05:41 2023
|
||||
|
||||
###########################################################]
|
||||
###########################################################[
|
||||
@@ -164,10 +159,10 @@ At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s;
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Thu Oct 19 23:50:49 2023
|
||||
# Sat Nov 18 02:05:43 2023
|
||||
|
||||
###########################################################]
|
||||
# Thu Oct 19 23:50:49 2023
|
||||
# Sat Nov 18 02:05:43 2023
|
||||
|
||||
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
@@ -186,7 +181,7 @@ Implementation : impl1
|
||||
Synopsys Lattice Technology Pre-mapping, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @
|
||||
|
||||
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB)
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB)
|
||||
|
||||
|
||||
Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 131MB peak: 140MB)
|
||||
@@ -213,7 +208,6 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h
|
||||
@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved.
|
||||
@N: FX493 |Applying initial value "0" on instance Ready.
|
||||
@N: FX493 |Applying initial value "0" on instance RCKE.
|
||||
@N: FX493 |Applying initial value "0" on instance LEDEN.
|
||||
@N: FX493 |Applying initial value "0" on instance n8MEGEN.
|
||||
@N: FX493 |Applying initial value "1" on instance nRCAS.
|
||||
@N: FX493 |Applying initial value "0" on instance CmdLEDEN.
|
||||
@@ -239,11 +233,11 @@ Finished clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapse
|
||||
Starting clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
|
||||
|
||||
|
||||
Finished clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB)
|
||||
Finished clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
|
||||
|
||||
@N: FX1184 |Applying syn_allowed_resources blockrams=7 on top level netlist RAM2GS
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB)
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
|
||||
|
||||
|
||||
|
||||
@@ -324,13 +318,13 @@ Finished constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:0
|
||||
|
||||
Pre-mapping successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 103MB peak: 185MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 99MB peak: 185MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Thu Oct 19 23:50:50 2023
|
||||
# Sat Nov 18 02:05:44 2023
|
||||
|
||||
###########################################################]
|
||||
# Thu Oct 19 23:50:50 2023
|
||||
# Sat Nov 18 02:05:44 2023
|
||||
|
||||
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
@@ -349,42 +343,42 @@ Implementation : impl1
|
||||
Synopsys Lattice Technology Mapper, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @
|
||||
|
||||
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB)
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB)
|
||||
|
||||
@N: MF916 |Option synthesis_strategy=base is enabled.
|
||||
@N: MF248 |Running in 64-bit mode.
|
||||
@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
|
||||
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 139MB)
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB)
|
||||
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 139MB)
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB)
|
||||
|
||||
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 146MB)
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 147MB)
|
||||
|
||||
|
||||
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 178MB peak: 178MB)
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 179MB peak: 179MB)
|
||||
|
||||
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB)
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 183MB peak: 183MB)
|
||||
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":169:4:169:9|Found counter in view:work.RAM2GS(verilog) instance IS[3:0]
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":156:4:156:9|Found counter in view:work.RAM2GS(verilog) instance FS[17:0]
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":166:4:166:9|Found counter in view:work.RAM2GS(verilog) instance IS[3:0]
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":153:4:153:9|Found counter in view:work.RAM2GS(verilog) instance FS[17:0]
|
||||
@N: FX493 |Applying initial value "0" on instance IS[0].
|
||||
@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved.
|
||||
@N: FX493 |Applying initial value "0" on instance IS[1].
|
||||
@N: FX493 |Applying initial value "0" on instance IS[2].
|
||||
@N: FX493 |Applying initial value "0" on instance IS[3].
|
||||
|
||||
Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 185MB peak: 185MB)
|
||||
Starting factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 185MB peak: 185MB)
|
||||
|
||||
|
||||
Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 186MB peak: 186MB)
|
||||
Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 186MB peak: 186MB)
|
||||
|
||||
|
||||
Available hyper_sources - for debug and ip models
|
||||
@@ -406,63 +400,51 @@ Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CP
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
|
||||
|
||||
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 195MB peak: 195MB)
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 197MB peak: 197MB)
|
||||
|
||||
Pass CPU time Worst Slack Luts / Registers
|
||||
------------------------------------------------------------
|
||||
1 0h:00m:01s -2.76ns 193 / 106
|
||||
2 0h:00m:01s -2.76ns 209 / 106
|
||||
3 0h:00m:01s -2.76ns 208 / 106
|
||||
4 0h:00m:01s -2.76ns 206 / 106
|
||||
5 0h:00m:01s -2.76ns 206 / 106
|
||||
6 0h:00m:01s -2.76ns 205 / 106
|
||||
7 0h:00m:01s -2.76ns 205 / 106
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":310:4:310:9|Replicating instance CmdValid (in view: work.RAM2GS(verilog)) with 4 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":169:4:169:9|Replicating instance Ready (in view: work.RAM2GS(verilog)) with 12 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":127:4:127:9|Replicating instance CBR (in view: work.RAM2GS(verilog)) with 6 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":127:4:127:9|Replicating instance FWEr (in view: work.RAM2GS(verilog)) with 5 loads 1 time to improve timing.
|
||||
1 0h:00m:02s -2.98ns 202 / 106
|
||||
2 0h:00m:02s -2.98ns 215 / 106
|
||||
3 0h:00m:02s -2.76ns 215 / 106
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":124:4:124:9|Replicating instance CBR (in view: work.RAM2GS(verilog)) with 6 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":307:4:307:9|Replicating instance CmdValid (in view: work.RAM2GS(verilog)) with 4 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":166:4:166:9|Replicating instance Ready (in view: work.RAM2GS(verilog)) with 12 loads 1 time to improve timing.
|
||||
Timing driven replication report
|
||||
Added 4 Registers via timing driven replication
|
||||
Added 2 LUTs via timing driven replication
|
||||
Added 3 Registers via timing driven replication
|
||||
Added 1 LUTs via timing driven replication
|
||||
|
||||
8 0h:00m:01s -1.83ns 209 / 110
|
||||
9 0h:00m:01s -1.83ns 209 / 110
|
||||
10 0h:00m:01s -1.83ns 209 / 110
|
||||
11 0h:00m:01s -1.83ns 209 / 110
|
||||
12 0h:00m:01s -1.83ns 209 / 110
|
||||
4 0h:00m:02s -1.97ns 220 / 109
|
||||
|
||||
|
||||
13 0h:00m:01s -1.83ns 208 / 110
|
||||
14 0h:00m:01s -1.83ns 209 / 110
|
||||
15 0h:00m:01s -1.83ns 209 / 110
|
||||
16 0h:00m:01s -1.83ns 209 / 110
|
||||
5 0h:00m:02s -1.97ns 220 / 109
|
||||
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 196MB peak: 196MB)
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 197MB peak: 197MB)
|
||||
|
||||
@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.
|
||||
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 196MB peak: 196MB)
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 198MB peak: 198MB)
|
||||
|
||||
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 160MB peak: 196MB)
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 160MB peak: 198MB)
|
||||
|
||||
Writing Analyst data base \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2GS_LCMXO2_1200HC_impl1_m.srm
|
||||
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 197MB peak: 197MB)
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 198MB peak: 198MB)
|
||||
|
||||
Writing EDIF Netlist and constraint files
|
||||
@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\impl1\RAM2GS_LCMXO2_1200HC_impl1.edi
|
||||
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
|
||||
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 201MB peak: 201MB)
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 203MB peak: 203MB)
|
||||
|
||||
|
||||
Finished Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 201MB peak: 202MB)
|
||||
Finished Writing Netlists (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 203MB peak: 203MB)
|
||||
|
||||
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 200MB peak: 202MB)
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 201MB peak: 203MB)
|
||||
|
||||
@W: MT246 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":43:8:43:10|Blackbox ODDRXE is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
|
||||
@W: MT246 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":43:11:43:19|Blackbox ODDRXE is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
|
||||
@W: MT246 :"\\mac\icloud\repos\ram2gs\cpld\lcmxo2-1200hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
|
||||
@N: MT615 |Found clock RCLK with period 16.00ns
|
||||
@N: MT615 |Found clock PHI2 with period 350.00ns
|
||||
@@ -471,7 +453,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0
|
||||
|
||||
|
||||
##### START OF TIMING REPORT #####[
|
||||
# Timing report written on Thu Oct 19 23:50:54 2023
|
||||
# Timing report written on Sat Nov 18 02:05:49 2023
|
||||
#
|
||||
|
||||
|
||||
@@ -491,15 +473,15 @@ Performance Summary
|
||||
*******************
|
||||
|
||||
|
||||
Worst slack in design: -1.828
|
||||
Worst slack in design: -2.605
|
||||
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
-------------------------------------------------------------------------------------------------------------------
|
||||
PHI2 2.9 MHz 1.0 MHz 350.000 989.870 -1.828 declared default_clkgroup
|
||||
RCLK 62.5 MHz 22.1 MHz 16.000 45.251 -0.784 declared default_clkgroup
|
||||
RCLK 62.5 MHz 17.3 MHz 16.000 57.686 -0.784 declared default_clkgroup
|
||||
nCCAS 2.9 MHz NA 350.000 NA NA declared default_clkgroup
|
||||
nCRAS 2.9 MHz 1.1 MHz 350.000 942.410 -1.693 declared default_clkgroup
|
||||
nCRAS 2.9 MHz 0.8 MHz 350.000 1261.890 -2.605 declared default_clkgroup
|
||||
System 100.0 MHz NA 10.000 NA 12.918 system system_clkgroup
|
||||
===================================================================================================================
|
||||
Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
|
||||
@@ -522,12 +504,12 @@ Starting Ending | constraint slack | constraint slack | constraint
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
System RCLK | 16.000 12.918 | No paths - | No paths - | No paths -
|
||||
RCLK System | 16.000 14.956 | No paths - | No paths - | No paths -
|
||||
RCLK RCLK | 16.000 9.100 | No paths - | No paths - | No paths -
|
||||
RCLK RCLK | 16.000 9.237 | No paths - | No paths - | No paths -
|
||||
RCLK PHI2 | 2.000 0.216 | No paths - | 1.000 -0.676 | No paths -
|
||||
RCLK nCRAS | No paths - | No paths - | 1.000 -0.784 | No paths -
|
||||
PHI2 RCLK | No paths - | No paths - | No paths - | 1.000 -1.828
|
||||
PHI2 PHI2 | No paths - | 350.000 347.156 | 175.000 169.041 | 175.000 173.428
|
||||
nCRAS RCLK | No paths - | No paths - | No paths - | 1.000 -1.693
|
||||
PHI2 PHI2 | No paths - | 350.000 346.603 | 175.000 169.081 | 175.000 173.428
|
||||
nCRAS RCLK | No paths - | No paths - | No paths - | 1.000 -2.605
|
||||
===============================================================================================================
|
||||
Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
|
||||
'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
|
||||
@@ -561,30 +543,30 @@ CmdLEDEN PHI2 FD1P3AX Q CmdLEDEN 1.044
|
||||
Cmdn8MEGEN PHI2 FD1P3AX Q Cmdn8MEGEN 1.044 -1.589
|
||||
CmdUFMWrite PHI2 FD1P3AX Q CmdUFMWrite 1.044 -0.572
|
||||
CmdUFMData PHI2 FD1P3AX Q CmdUFMData 0.972 -0.500
|
||||
Bank_0io[0] PHI2 IFS1P3DX Q Bank[0] 0.972 169.041
|
||||
Bank_0io[2] PHI2 IFS1P3DX Q Bank[2] 0.972 169.041
|
||||
Bank_0io[4] PHI2 IFS1P3DX Q Bank[4] 0.972 169.041
|
||||
Bank_0io[1] PHI2 IFS1P3DX Q Bank[1] 0.972 169.081
|
||||
Bank_0io[2] PHI2 IFS1P3DX Q Bank[2] 0.972 169.081
|
||||
Bank_0io[3] PHI2 IFS1P3DX Q Bank[3] 0.972 169.081
|
||||
==========================================================================================
|
||||
|
||||
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
------------------------------------------------------------------------------
|
||||
wb_adr[0] PHI2 FD1P3AX SP N_122 0.528 -1.828
|
||||
wb_adr[1] PHI2 FD1P3AX SP N_122 0.528 -1.828
|
||||
wb_adr[2] PHI2 FD1P3AX SP N_122 0.528 -1.828
|
||||
wb_adr[3] PHI2 FD1P3AX SP N_122 0.528 -1.828
|
||||
wb_adr[4] PHI2 FD1P3AX SP N_122 0.528 -1.828
|
||||
wb_adr[5] PHI2 FD1P3AX SP N_122 0.528 -1.828
|
||||
wb_adr[6] PHI2 FD1P3AX SP N_122 0.528 -1.828
|
||||
wb_adr[7] PHI2 FD1P3AX SP N_122 0.528 -1.828
|
||||
wb_dati[0] PHI2 FD1P3AX SP N_122 0.528 -1.828
|
||||
wb_dati[1] PHI2 FD1P3AX SP N_122 0.528 -1.828
|
||||
==============================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
--------------------------------------------------------------------------------
|
||||
wb_adr[0] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[1] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[2] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[3] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[4] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[5] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[6] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[7] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_dati[0] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_dati[1] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
================================================================================
|
||||
|
||||
|
||||
|
||||
@@ -600,7 +582,7 @@ Path information for path number 1:
|
||||
|
||||
- Propagation time: 2.357
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (critical) : -1.828
|
||||
= Slack (non-critical) : -1.828
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: CmdUFMShift / Q
|
||||
@@ -615,7 +597,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
N_122 Net - - - - 17
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[0] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
@@ -628,7 +610,7 @@ Path information for path number 2:
|
||||
|
||||
- Propagation time: 2.357
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (critical) : -1.828
|
||||
= Slack (non-critical) : -1.828
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: CmdUFMShift / Q
|
||||
@@ -643,7 +625,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
N_122 Net - - - - 17
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[7] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
@@ -656,7 +638,7 @@ Path information for path number 3:
|
||||
|
||||
- Propagation time: 2.357
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (critical) : -1.828
|
||||
= Slack (non-critical) : -1.828
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: CmdUFMShift / Q
|
||||
@@ -671,7 +653,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
N_122 Net - - - - 17
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[6] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
@@ -684,7 +666,7 @@ Path information for path number 4:
|
||||
|
||||
- Propagation time: 2.357
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (critical) : -1.828
|
||||
= Slack (non-critical) : -1.828
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: CmdUFMShift / Q
|
||||
@@ -699,7 +681,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
N_122 Net - - - - 17
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[5] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
@@ -712,7 +694,7 @@ Path information for path number 5:
|
||||
|
||||
- Propagation time: 2.357
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (critical) : -1.828
|
||||
= Slack (non-critical) : -1.828
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: CmdUFMShift / Q
|
||||
@@ -727,7 +709,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
N_122 Net - - - - 17
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[4] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
@@ -750,13 +732,13 @@ Instance Reference Type Pin Net Time Slac
|
||||
Ready_fast RCLK FD1S3AX Q Ready_fast 1.256 -0.784
|
||||
LEDEN RCLK FD1S3AX Q LEDEN 1.148 -0.676
|
||||
n8MEGEN RCLK FD1S3AX Q n8MEGEN 1.108 -0.636
|
||||
FS[12] RCLK FD1S3AX Q FS[12] 1.288 9.100
|
||||
FS[11] RCLK FD1S3AX Q FS[11] 1.280 9.108
|
||||
FS[9] RCLK FD1S3AX Q FS[9] 1.256 9.132
|
||||
InitReady RCLK FD1S3AX Q InitReady 1.317 9.708
|
||||
FS[16] RCLK FD1S3AX Q FS[16] 1.180 9.845
|
||||
FS[17] RCLK FD1S3AX Q FS[17] 1.180 9.845
|
||||
FS[15] RCLK FD1S3AX Q FS[15] 1.148 9.877
|
||||
InitReady RCLK FD1S3AX Q InitReady 1.337 9.237
|
||||
FS[16] RCLK FD1S3AX Q FS[16] 1.204 9.371
|
||||
FS[17] RCLK FD1S3AX Q FS[17] 1.204 9.371
|
||||
FS[15] RCLK FD1S3AX Q FS[15] 1.188 9.387
|
||||
S[0] RCLK FD1S3IX Q CO0 1.244 9.873
|
||||
S[1] RCLK FD1S3IX Q S[1] 1.236 9.881
|
||||
RASr2 RCLK FD1S3AX Q RASr2 1.228 9.889
|
||||
==================================================================================
|
||||
|
||||
|
||||
@@ -851,6 +833,34 @@ Path information for path number 3:
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -0.784
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RBA_0io[1] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
|
||||
Ready_fast Net - - - - 14
|
||||
RBAd[1] ORCALUT4 B In 0.000 1.256 r -
|
||||
RBAd[1] ORCALUT4 Z Out 0.617 1.873 r -
|
||||
RBAd_0[1] Net - - - - 1
|
||||
RBA_0io[1] OFS1P3DX D In 0.000 1.873 r -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 4:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 1.873
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -0.784
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RowA[1] / D
|
||||
@@ -869,34 +879,6 @@ RowA[1] FD1S3AX D In 0.000 1.873 r -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 4:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 1.873
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -0.784
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RowA[4] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
|
||||
Ready_fast Net - - - - 14
|
||||
RowAd[4] ORCALUT4 B In 0.000 1.256 r -
|
||||
RowAd[4] ORCALUT4 Z Out 0.617 1.873 r -
|
||||
RowAd_0[4] Net - - - - 1
|
||||
RowA[4] FD1S3AX D In 0.000 1.873 r -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 5:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
@@ -909,7 +891,7 @@ Path information for path number 5:
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RowA[2] / D
|
||||
Ending point: RowA[5] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
|
||||
@@ -918,10 +900,10 @@ Name Type Name Dir Delay Time Fan Out(s
|
||||
---------------------------------------------------------------------------------
|
||||
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
|
||||
Ready_fast Net - - - - 14
|
||||
RowAd[2] ORCALUT4 B In 0.000 1.256 r -
|
||||
RowAd[2] ORCALUT4 Z Out 0.617 1.873 r -
|
||||
RowAd_0[2] Net - - - - 1
|
||||
RowA[2] FD1S3AX D In 0.000 1.873 r -
|
||||
RowAd[5] ORCALUT4 B In 0.000 1.256 r -
|
||||
RowAd[5] ORCALUT4 Z Out 0.617 1.873 f -
|
||||
RowAd_0[5] Net - - - - 1
|
||||
RowA[5] FD1S3AX D In 0.000 1.873 f -
|
||||
=================================================================================
|
||||
|
||||
|
||||
@@ -936,15 +918,14 @@ Detailed Report for Clock: nCRAS
|
||||
Starting Points with Worst Slack
|
||||
********************************
|
||||
|
||||
Starting Arrival
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
--------------------------------------------------------------------------------
|
||||
CBR nCRAS FD1S3AX Q CBR 1.148 -1.693
|
||||
FWEr nCRAS FD1S3AX Q FWEr 1.148 -1.693
|
||||
CBR_fast nCRAS FD1S3AX Q CBR_fast 1.044 -1.661
|
||||
FWEr_fast nCRAS FD1S3AX Q FWEr_fast 0.972 -1.589
|
||||
================================================================================
|
||||
Starting Arrival
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
------------------------------------------------------------------------------
|
||||
CBR_fast nCRAS FD1S3AX Q CBR_fast 0.972 -2.605
|
||||
CBR nCRAS FD1S3AX Q CBR 1.180 -1.797
|
||||
FWEr nCRAS FD1S3AX Q FWEr 1.180 -1.797
|
||||
==============================================================================
|
||||
|
||||
|
||||
Ending Points with Worst Slack
|
||||
@@ -954,11 +935,11 @@ Ending Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------------
|
||||
RCKEEN nCRAS FD1S3AX D RCKEEN_8 1.089 -1.693
|
||||
nRCAS_0io nCRAS OFS1P3BX D N_242_i 1.089 -1.693
|
||||
nRCS_0io nCRAS OFS1P3BX D N_28_i 1.089 -1.693
|
||||
nRowColSel nCRAS FD1S3IX D nRowColSel_0_0 1.089 -1.693
|
||||
nRWE_0io nCRAS OFS1P3BX D N_37_i 1.089 -1.661
|
||||
nRCAS_0io nCRAS OFS1P3BX D N_251_i 1.089 -2.605
|
||||
nRCS_0io nCRAS OFS1P3BX D N_37_i 1.089 -1.797
|
||||
nRWE_0io nCRAS OFS1P3BX D N_252_i 1.089 -1.797
|
||||
nRowColSel nCRAS FD1S3IX D nRowColSel_0_0 1.089 -1.797
|
||||
RCKEEN nCRAS FD1S3AX D RCKEEN_8 1.089 -1.725
|
||||
========================================================================================
|
||||
|
||||
|
||||
@@ -973,29 +954,32 @@ Path information for path number 1:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.781
|
||||
- Propagation time: 3.694
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.693
|
||||
= Slack (critical) : -2.605
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: CBR / Q
|
||||
Number of logic level(s): 3
|
||||
Starting point: CBR_fast / Q
|
||||
Ending point: nRCAS_0io / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------
|
||||
CBR FD1S3AX Q Out 1.148 1.148 r -
|
||||
CBR Net - - - - 4
|
||||
nRCAS_0io_RNO_0 ORCALUT4 A In 0.000 1.148 r -
|
||||
nRCAS_0io_RNO_0 ORCALUT4 Z Out 1.017 2.165 f -
|
||||
N_242_i_1 Net - - - - 1
|
||||
nRCAS_0io_RNO ORCALUT4 C In 0.000 2.165 f -
|
||||
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.781 r -
|
||||
N_242_i Net - - - - 1
|
||||
nRCAS_0io OFS1P3BX D In 0.000 2.781 r -
|
||||
==================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------
|
||||
CBR_fast FD1S3AX Q Out 0.972 0.972 r -
|
||||
CBR_fast Net - - - - 1
|
||||
CBR_fast_RNIQ31K1 ORCALUT4 A In 0.000 0.972 r -
|
||||
CBR_fast_RNIQ31K1 ORCALUT4 Z Out 1.089 2.061 r -
|
||||
nRCAS_0_sqmuxa_1 Net - - - - 2
|
||||
nRCAS_0io_RNO_0 ORCALUT4 B In 0.000 2.061 r -
|
||||
nRCAS_0io_RNO_0 ORCALUT4 Z Out 1.017 3.077 r -
|
||||
N_251_i_sx Net - - - - 1
|
||||
nRCAS_0io_RNO ORCALUT4 C In 0.000 3.077 r -
|
||||
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 3.694 f -
|
||||
N_251_i Net - - - - 1
|
||||
nRCAS_0io OFS1P3BX D In 0.000 3.694 f -
|
||||
====================================================================================
|
||||
|
||||
|
||||
Path information for path number 2:
|
||||
@@ -1004,29 +988,29 @@ Path information for path number 2:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.781
|
||||
- Propagation time: 2.885
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.693
|
||||
= Slack (non-critical) : -1.797
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: FWEr / Q
|
||||
Ending point: RCKEEN / D
|
||||
Starting point: CBR / Q
|
||||
Ending point: nRCS_0io / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
FWEr FD1S3AX Q Out 1.148 1.148 r -
|
||||
FWEr Net - - - - 4
|
||||
RCKEEN_8_u_1_0 ORCALUT4 C In 0.000 1.148 r -
|
||||
RCKEEN_8_u_1_0 ORCALUT4 Z Out 1.017 2.165 r -
|
||||
RCKEEN_8_u_1 Net - - - - 1
|
||||
RCKEEN_8_u ORCALUT4 C In 0.000 2.165 r -
|
||||
RCKEEN_8_u ORCALUT4 Z Out 0.617 2.781 r -
|
||||
RCKEEN_8 Net - - - - 1
|
||||
RCKEEN FD1S3AX D In 0.000 2.781 r -
|
||||
=================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------
|
||||
CBR FD1S3AX Q Out 1.180 1.180 r -
|
||||
CBR Net - - - - 5
|
||||
RCKEEN_8_u_0_a2_2 ORCALUT4 A In 0.000 1.180 r -
|
||||
RCKEEN_8_u_0_a2_2 ORCALUT4 Z Out 1.089 2.269 f -
|
||||
N_141 Net - - - - 2
|
||||
nRCS_0io_RNO ORCALUT4 A In 0.000 2.269 f -
|
||||
nRCS_0io_RNO ORCALUT4 Z Out 0.617 2.885 r -
|
||||
N_37_i Net - - - - 1
|
||||
nRCS_0io OFS1P3BX D In 0.000 2.885 r -
|
||||
====================================================================================
|
||||
|
||||
|
||||
Path information for path number 3:
|
||||
@@ -1035,71 +1019,9 @@ Path information for path number 3:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.781
|
||||
- Propagation time: 2.885
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.693
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: CBR / Q
|
||||
Ending point: nRowColSel / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
--------------------------------------------------------------------------------------
|
||||
CBR FD1S3AX Q Out 1.148 1.148 r -
|
||||
CBR Net - - - - 4
|
||||
nRowColSel_0_0_a3_0 ORCALUT4 B In 0.000 1.148 r -
|
||||
nRowColSel_0_0_a3_0 ORCALUT4 Z Out 1.017 2.165 f -
|
||||
N_255 Net - - - - 1
|
||||
nRowColSel_0_0 ORCALUT4 B In 0.000 2.165 f -
|
||||
nRowColSel_0_0 ORCALUT4 Z Out 0.617 2.781 f -
|
||||
nRowColSel_0_0 Net - - - - 1
|
||||
nRowColSel FD1S3IX D In 0.000 2.781 f -
|
||||
======================================================================================
|
||||
|
||||
|
||||
Path information for path number 4:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.781
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.693
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: FWEr / Q
|
||||
Ending point: nRCS_0io / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
FWEr FD1S3AX Q Out 1.148 1.148 r -
|
||||
FWEr Net - - - - 4
|
||||
nRCS_0io_RNO_0 ORCALUT4 B In 0.000 1.148 r -
|
||||
nRCS_0io_RNO_0 ORCALUT4 Z Out 1.017 2.165 f -
|
||||
nRCS_0io_RNO_0 Net - - - - 1
|
||||
nRCS_0io_RNO ORCALUT4 B In 0.000 2.165 f -
|
||||
nRCS_0io_RNO ORCALUT4 Z Out 0.617 2.781 r -
|
||||
N_28_i Net - - - - 1
|
||||
nRCS_0io OFS1P3BX D In 0.000 2.781 r -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 5:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.781
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.693
|
||||
= Slack (non-critical) : -1.797
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: FWEr / Q
|
||||
@@ -1110,18 +1032,80 @@ Path information for path number 5:
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------
|
||||
FWEr FD1S3AX Q Out 1.148 1.148 r -
|
||||
FWEr Net - - - - 4
|
||||
nRCAS_0io_RNO_0 ORCALUT4 C In 0.000 1.148 r -
|
||||
nRCAS_0io_RNO_0 ORCALUT4 Z Out 1.017 2.165 r -
|
||||
N_242_i_1 Net - - - - 1
|
||||
nRCAS_0io_RNO ORCALUT4 C In 0.000 2.165 r -
|
||||
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.781 f -
|
||||
N_242_i Net - - - - 1
|
||||
nRCAS_0io OFS1P3BX D In 0.000 2.781 f -
|
||||
FWEr FD1S3AX Q Out 1.180 1.180 r -
|
||||
FWEr Net - - - - 5
|
||||
nRCS_9_u_i_a2_0 ORCALUT4 B In 0.000 1.180 r -
|
||||
nRCS_9_u_i_a2_0 ORCALUT4 Z Out 1.089 2.269 f -
|
||||
N_251_i_1 Net - - - - 2
|
||||
nRCAS_0io_RNO ORCALUT4 A In 0.000 2.269 f -
|
||||
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.885 r -
|
||||
N_251_i Net - - - - 1
|
||||
nRCAS_0io OFS1P3BX D In 0.000 2.885 r -
|
||||
==================================================================================
|
||||
|
||||
|
||||
Path information for path number 4:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.885
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.797
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: CBR / Q
|
||||
Ending point: nRWE_0io / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------
|
||||
CBR FD1S3AX Q Out 1.180 1.180 r -
|
||||
CBR Net - - - - 5
|
||||
RCKEEN_8_u_0_a2_2 ORCALUT4 A In 0.000 1.180 r -
|
||||
RCKEEN_8_u_0_a2_2 ORCALUT4 Z Out 1.089 2.269 f -
|
||||
N_141 Net - - - - 2
|
||||
nRWE_0io_RNO ORCALUT4 B In 0.000 2.269 f -
|
||||
nRWE_0io_RNO ORCALUT4 Z Out 0.617 2.885 r -
|
||||
N_252_i Net - - - - 1
|
||||
nRWE_0io OFS1P3BX D In 0.000 2.885 r -
|
||||
====================================================================================
|
||||
|
||||
|
||||
Path information for path number 5:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.885
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.797
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: FWEr / Q
|
||||
Ending point: nRCAS_0io / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
--------------------------------------------------------------------------------------
|
||||
FWEr FD1S3AX Q Out 1.180 1.180 r -
|
||||
FWEr Net - - - - 5
|
||||
nRowColSel_0_0_a2_1 ORCALUT4 B In 0.000 1.180 r -
|
||||
nRowColSel_0_0_a2_1 ORCALUT4 Z Out 1.089 2.269 r -
|
||||
N_251_i_1_0 Net - - - - 2
|
||||
nRCAS_0io_RNO ORCALUT4 B In 0.000 2.269 r -
|
||||
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.885 f -
|
||||
N_251_i Net - - - - 1
|
||||
nRCAS_0io OFS1P3BX D In 0.000 2.885 f -
|
||||
======================================================================================
|
||||
|
||||
|
||||
|
||||
|
||||
====================================
|
||||
@@ -1146,14 +1130,14 @@ ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
-----------------------------------------------------------------------------------
|
||||
LEDEN System FD1S3AX D LEDENe_0 16.089 12.918
|
||||
n8MEGEN System FD1S3AX D n8MEGENe_0 16.089 12.918
|
||||
wb_cyc_stb System FD1P3IX SP N_103 15.528 14.912
|
||||
===================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
--------------------------------------------------------------------------------------------------
|
||||
LEDEN System FD1S3AX D LEDENe_0 16.089 12.918
|
||||
n8MEGEN System FD1S3AX D n8MEGENe_0 16.089 12.918
|
||||
wb_cyc_stb System FD1P3IX SP wb_cyc_stb_2_sqmuxa_i_0_0 15.528 14.912
|
||||
==================================================================================================
|
||||
|
||||
|
||||
|
||||
@@ -1178,25 +1162,25 @@ Path information for path number 1:
|
||||
The start point is clocked by System [rising]
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
-----------------------------------------------------------------------------------------------------
|
||||
ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 r -
|
||||
wb_ack Net - - - - 2
|
||||
ufmefb.EFBInst_0_RNI8K48 ORCALUT4 C In 0.000 0.000 r -
|
||||
ufmefb.EFBInst_0_RNI8K48 ORCALUT4 Z Out 0.449 0.449 r -
|
||||
g0_0_a3_1 Net - - - - 1
|
||||
wb_cyc_stb_2_sqmuxa_i_o2_RNI167R ORCALUT4 D In 0.000 0.449 r -
|
||||
wb_cyc_stb_2_sqmuxa_i_o2_RNI167R ORCALUT4 Z Out 1.017 1.466 r -
|
||||
N_4 Net - - - - 1
|
||||
CmdValid_RNITBH02 ORCALUT4 C In 0.000 1.466 r -
|
||||
CmdValid_RNITBH02 ORCALUT4 Z Out 1.089 2.554 r -
|
||||
CmdValid_RNITBH02 Net - - - - 2
|
||||
LEDENe ORCALUT4 B In 0.000 2.554 r -
|
||||
LEDENe ORCALUT4 Z Out 0.617 3.171 r -
|
||||
LEDENe_0 Net - - - - 1
|
||||
LEDEN FD1S3AX D In 0.000 3.171 r -
|
||||
=====================================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------------------
|
||||
ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 r -
|
||||
wb_ack Net - - - - 2
|
||||
ufmefb.EFBInst_0_RNISGNB ORCALUT4 D In 0.000 0.000 r -
|
||||
ufmefb.EFBInst_0_RNISGNB ORCALUT4 Z Out 1.017 1.017 r -
|
||||
g0_0_a3_2 Net - - - - 1
|
||||
ufmefb.EFBInst_0_RNISI191 ORCALUT4 C In 0.000 1.017 r -
|
||||
ufmefb.EFBInst_0_RNISI191 ORCALUT4 Z Out 0.449 1.466 r -
|
||||
N_4 Net - - - - 1
|
||||
CmdValid_RNIOOBE2 ORCALUT4 C In 0.000 1.466 r -
|
||||
CmdValid_RNIOOBE2 ORCALUT4 Z Out 1.089 2.554 r -
|
||||
un1_FS_38_i Net - - - - 2
|
||||
LEDENe ORCALUT4 C In 0.000 2.554 r -
|
||||
LEDENe ORCALUT4 Z Out 0.617 3.171 r -
|
||||
LEDENe_0 Net - - - - 1
|
||||
LEDEN FD1S3AX D In 0.000 3.171 r -
|
||||
==============================================================================================
|
||||
|
||||
|
||||
|
||||
@@ -1204,16 +1188,16 @@ LEDEN FD1S3AX D In 0.000 3
|
||||
|
||||
Timing exceptions that could not be applied
|
||||
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 201MB peak: 202MB)
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 202MB peak: 203MB)
|
||||
|
||||
|
||||
Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 201MB peak: 202MB)
|
||||
Finished timing report (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 202MB peak: 203MB)
|
||||
|
||||
---------------------------------------
|
||||
Resource Usage Report
|
||||
Part: lcmxo2_1200hc-4
|
||||
|
||||
Register bits: 110 of 1280 (9%)
|
||||
Register bits: 109 of 1280 (9%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 64
|
||||
|
||||
@@ -1224,7 +1208,7 @@ CCU2D: 10
|
||||
EFB: 1
|
||||
FD1P3AX: 25
|
||||
FD1P3IX: 2
|
||||
FD1S3AX: 54
|
||||
FD1S3AX: 53
|
||||
FD1S3IX: 4
|
||||
GSR: 1
|
||||
IB: 25
|
||||
@@ -1235,15 +1219,16 @@ ODDRXE: 1
|
||||
OFS1P3BX: 4
|
||||
OFS1P3DX: 11
|
||||
OFS1P3JX: 1
|
||||
ORCALUT4: 203
|
||||
ORCALUT4: 212
|
||||
PFUMX: 2
|
||||
PUR: 1
|
||||
VHI: 2
|
||||
VLO: 2
|
||||
Mapper successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 78MB peak: 202MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 78MB peak: 203MB)
|
||||
|
||||
Process took 0h:00m:03s realtime, 0h:00m:03s cputime
|
||||
# Thu Oct 19 23:50:54 2023
|
||||
Process took 0h:00m:04s realtime, 0h:00m:04s cputime
|
||||
# Sat Nov 18 02:05:49 2023
|
||||
|
||||
###########################################################]
|
||||
|
||||
@@ -13,7 +13,7 @@ Setup and Hold Report
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454
|
||||
Thu Oct 19 23:50:57 2023
|
||||
Sat Nov 18 02:05:54 2023
|
||||
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
@@ -42,42 +42,42 @@ Preference: FREQUENCY PORT "PHI2" 2.900000 MHz ;
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
Passed: The following path meets requirements by 163.779ns (weighted slack = 327.558ns)
|
||||
Passed: The following path meets requirements by 163.025ns (weighted slack = 326.050ns)
|
||||
|
||||
Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-)
|
||||
|
||||
Source: FF Q Bank_0io[0] (from PHI2_c +)
|
||||
Destination: FF Data in ADSubmitted (to PHI2_c -)
|
||||
Source: FF Q Bank_0io[1] (from PHI2_c +)
|
||||
Destination: FF Data in CmdEnable (to PHI2_c -)
|
||||
|
||||
Delay: 8.469ns (36.0% logic, 64.0% route), 6 logic levels.
|
||||
Delay: 9.223ns (33.1% logic, 66.9% route), 6 logic levels.
|
||||
|
||||
Constraint Details:
|
||||
|
||||
8.469ns physical path delay Din[0]_MGIOL to SLICE_10 meets
|
||||
9.223ns physical path delay Din[1]_MGIOL to SLICE_17 meets
|
||||
172.414ns delay constraint less
|
||||
0.166ns DIN_SET requirement (totaling 172.248ns) by 163.779ns
|
||||
0.166ns DIN_SET requirement (totaling 172.248ns) by 163.025ns
|
||||
|
||||
Physical Path Details:
|
||||
|
||||
Data path Din[0]_MGIOL to SLICE_10:
|
||||
Data path Din[1]_MGIOL to SLICE_17:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
C2INP_DEL --- 0.577 *[0]_MGIOL.CLK to *n[0]_MGIOL.IN Din[0]_MGIOL (from PHI2_c)
|
||||
ROUTE 1 e 1.234 *n[0]_MGIOL.IN to SLICE_89.A0 Bank[0]
|
||||
CTOF_DEL --- 0.495 SLICE_89.A0 to SLICE_89.F0 SLICE_89
|
||||
ROUTE 1 e 1.234 SLICE_89.F0 to SLICE_75.C1 un1_ADWR_i_o2_10
|
||||
CTOF_DEL --- 0.495 SLICE_75.C1 to SLICE_75.F1 SLICE_75
|
||||
ROUTE 8 e 0.480 SLICE_75.F1 to SLICE_75.B0 N_294
|
||||
CTOF_DEL --- 0.495 SLICE_75.B0 to SLICE_75.F0 SLICE_75
|
||||
ROUTE 2 e 1.234 SLICE_75.F0 to SLICE_73.C0 N_382
|
||||
CTOF_DEL --- 0.495 SLICE_73.C0 to SLICE_73.F0 SLICE_73
|
||||
ROUTE 2 e 1.234 SLICE_73.F0 to SLICE_10.C0 CmdEnable17
|
||||
CTOF_DEL --- 0.495 SLICE_10.C0 to SLICE_10.F0 SLICE_10
|
||||
ROUTE 1 e 0.001 SLICE_10.F0 to SLICE_10.DI0 ADSubmitted_r_0 (to PHI2_c)
|
||||
C2INP_DEL --- 0.577 *[1]_MGIOL.CLK to *n[1]_MGIOL.IN Din[1]_MGIOL (from PHI2_c)
|
||||
ROUTE 1 e 1.234 *n[1]_MGIOL.IN to SLICE_90.A0 Bank[1]
|
||||
CTOF_DEL --- 0.495 SLICE_90.A0 to SLICE_90.F0 SLICE_90
|
||||
ROUTE 1 e 1.234 SLICE_90.F0 to SLICE_80.C0 un1_CmdEnable20_0_0_o2_10
|
||||
CTOF_DEL --- 0.495 SLICE_80.C0 to SLICE_80.F0 SLICE_80
|
||||
ROUTE 6 e 1.234 SLICE_80.F0 to SLICE_11.C1 N_367
|
||||
CTOF_DEL --- 0.495 SLICE_11.C1 to SLICE_11.F1 SLICE_11
|
||||
ROUTE 3 e 1.234 SLICE_11.F1 to SLICE_33.B0 CmdEnable16
|
||||
CTOF_DEL --- 0.495 SLICE_33.B0 to SLICE_33.F0 SLICE_33
|
||||
ROUTE 1 e 1.234 SLICE_33.F0 to SLICE_17.D0 CmdEnable_0_sqmuxa
|
||||
CTOF_DEL --- 0.495 SLICE_17.D0 to SLICE_17.F0 SLICE_17
|
||||
ROUTE 1 e 0.001 SLICE_17.F0 to SLICE_17.DI0 CmdEnable_s (to PHI2_c)
|
||||
--------
|
||||
8.469 (36.0% logic, 64.0% route), 6 logic levels.
|
||||
9.223 (33.1% logic, 66.9% route), 6 logic levels.
|
||||
|
||||
Report: 57.904MHz is the maximum frequency for this preference.
|
||||
Report: 53.254MHz is the maximum frequency for this preference.
|
||||
|
||||
|
||||
================================================================================
|
||||
@@ -118,48 +118,46 @@ Report: 150.150MHz is the maximum frequency for this preference.
|
||||
|
||||
================================================================================
|
||||
Preference: FREQUENCY PORT "RCLK" 62.500000 MHz ;
|
||||
868 items scored, 0 timing errors detected.
|
||||
891 items scored, 0 timing errors detected.
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
Passed: The following path meets requirements by 5.761ns
|
||||
Passed: The following path meets requirements by 5.516ns
|
||||
|
||||
Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-)
|
||||
|
||||
Source: FF Q FS[11] (from RCLK_c +)
|
||||
Destination: FF Data in wb_adr[0] (to RCLK_c +)
|
||||
Source: FF Q S[0] (from RCLK_c +)
|
||||
Destination: FF Data in nRWE_0io (to RCLK_c +)
|
||||
|
||||
Delay: 10.073ns (34.0% logic, 66.0% route), 7 logic levels.
|
||||
Delay: 10.331ns (28.3% logic, 71.7% route), 6 logic levels.
|
||||
|
||||
Constraint Details:
|
||||
|
||||
10.073ns physical path delay SLICE_4 to SLICE_48 meets
|
||||
10.331ns physical path delay SLICE_16 to nRWE_MGIOL meets
|
||||
16.000ns delay constraint less
|
||||
0.166ns DIN_SET requirement (totaling 15.834ns) by 5.761ns
|
||||
0.153ns DO_SET requirement (totaling 15.847ns) by 5.516ns
|
||||
|
||||
Physical Path Details:
|
||||
|
||||
Data path SLICE_4 to SLICE_48:
|
||||
Data path SLICE_16 to nRWE_MGIOL:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
REG_DEL --- 0.452 SLICE_4.CLK to SLICE_4.Q0 SLICE_4 (from RCLK_c)
|
||||
ROUTE 21 e 1.234 SLICE_4.Q0 to SLICE_66.B1 FS[11]
|
||||
CTOF_DEL --- 0.495 SLICE_66.B1 to SLICE_66.F1 SLICE_66
|
||||
ROUTE 1 e 0.480 SLICE_66.F1 to SLICE_66.D0 wb_adr_5_i_i_a2_3_0[0]
|
||||
CTOF_DEL --- 0.495 SLICE_66.D0 to SLICE_66.F0 SLICE_66
|
||||
ROUTE 1 e 1.234 SLICE_66.F0 to SLICE_86.D0 wb_adr_5_i_i_1_0_tz_0[0]
|
||||
CTOF_DEL --- 0.495 SLICE_86.D0 to SLICE_86.F0 SLICE_86
|
||||
ROUTE 1 e 1.234 SLICE_86.F0 to SLICE_85.C0 wb_adr_5_i_i_1_0[0]
|
||||
CTOF_DEL --- 0.495 SLICE_85.C0 to SLICE_85.F0 SLICE_85
|
||||
ROUTE 1 e 1.234 SLICE_85.F0 to SLICE_77.D0 wb_adr_5_i_i_1[0]
|
||||
CTOF_DEL --- 0.495 SLICE_77.D0 to SLICE_77.F0 SLICE_77
|
||||
ROUTE 1 e 1.234 SLICE_77.F0 to SLICE_48.D0 wb_adr_5_i_i_5[0]
|
||||
CTOF_DEL --- 0.495 SLICE_48.D0 to SLICE_48.F0 SLICE_48
|
||||
ROUTE 1 e 0.001 SLICE_48.F0 to SLICE_48.DI0 N_283 (to RCLK_c)
|
||||
REG_DEL --- 0.452 SLICE_16.CLK to SLICE_16.Q0 SLICE_16 (from RCLK_c)
|
||||
ROUTE 12 e 1.234 SLICE_16.Q0 to SLICE_62.D1 CO0
|
||||
CTOF_DEL --- 0.495 SLICE_62.D1 to SLICE_62.F1 SLICE_62
|
||||
ROUTE 6 e 1.234 SLICE_62.F1 to SLICE_79.A1 IS_0_sqmuxa_0_o2
|
||||
CTOF_DEL --- 0.495 SLICE_79.A1 to SLICE_79.F1 SLICE_79
|
||||
ROUTE 2 e 1.234 SLICE_79.F1 to SLICE_28.D1 IS_0_sqmuxa_0_o3
|
||||
CTOF_DEL --- 0.495 SLICE_28.D1 to SLICE_28.F1 SLICE_28
|
||||
ROUTE 1 e 1.234 SLICE_28.F1 to SLICE_68.D1 nRWE_s_i_a2_1_0
|
||||
CTOF_DEL --- 0.495 SLICE_68.D1 to SLICE_68.F1 SLICE_68
|
||||
ROUTE 1 e 1.234 SLICE_68.F1 to SLICE_75.D0 nRWE_s_i_tz_0
|
||||
CTOF_DEL --- 0.495 SLICE_75.D0 to SLICE_75.F0 SLICE_75
|
||||
ROUTE 1 e 1.234 SLICE_75.F0 to *WE_MGIOL.OPOS N_252_i (to RCLK_c)
|
||||
--------
|
||||
10.073 (34.0% logic, 66.0% route), 7 logic levels.
|
||||
10.331 (28.3% logic, 71.7% route), 6 logic levels.
|
||||
|
||||
Report: 97.666MHz is the maximum frequency for this preference.
|
||||
Report: 95.383MHz is the maximum frequency for this preference.
|
||||
|
||||
Report Summary
|
||||
--------------
|
||||
@@ -167,13 +165,13 @@ Report Summary
|
||||
Preference | Constraint| Actual|Levels
|
||||
----------------------------------------------------------------------------
|
||||
| | |
|
||||
FREQUENCY PORT "PHI2" 2.900000 MHz ; | 2.900 MHz| 57.904 MHz| 6
|
||||
FREQUENCY PORT "PHI2" 2.900000 MHz ; | 2.900 MHz| 53.254 MHz| 6
|
||||
| | |
|
||||
FREQUENCY PORT "nCCAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0
|
||||
| | |
|
||||
FREQUENCY PORT "nCRAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0
|
||||
| | |
|
||||
FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 97.666 MHz| 7
|
||||
FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 95.383 MHz| 6
|
||||
| | |
|
||||
----------------------------------------------------------------------------
|
||||
|
||||
@@ -186,7 +184,7 @@ Clock Domains Analysis
|
||||
|
||||
Found 4 clocks:
|
||||
|
||||
Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 12
|
||||
Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11
|
||||
No transfer within this clock domain is found
|
||||
|
||||
Data transfers from:
|
||||
@@ -228,11 +226,11 @@ Timing summary (Setup):
|
||||
Timing errors: 0 Score: 0
|
||||
Cumulative negative slack: 0
|
||||
|
||||
Constraints cover 1015 paths, 4 nets, and 706 connections (71.10% coverage)
|
||||
Constraints cover 1038 paths, 4 nets, and 732 connections (72.40% coverage)
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454
|
||||
Thu Oct 19 23:50:58 2023
|
||||
Sat Nov 18 02:05:54 2023
|
||||
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
@@ -284,7 +282,7 @@ Passed: The following path meets requirements by 0.447ns
|
||||
REG_DEL --- 0.133 SLICE_10.CLK to SLICE_10.Q0 SLICE_10 (from PHI2_c)
|
||||
ROUTE 2 e 0.199 SLICE_10.Q0 to SLICE_10.A0 ADSubmitted
|
||||
CTOF_DEL --- 0.101 SLICE_10.A0 to SLICE_10.F0 SLICE_10
|
||||
ROUTE 1 e 0.001 SLICE_10.F0 to SLICE_10.DI0 ADSubmitted_r_0 (to PHI2_c)
|
||||
ROUTE 1 e 0.001 SLICE_10.F0 to SLICE_10.DI0 ADSubmitted_r_0_0 (to PHI2_c)
|
||||
--------
|
||||
0.434 (53.9% logic, 46.1% route), 2 logic levels.
|
||||
|
||||
@@ -303,7 +301,7 @@ Preference: FREQUENCY PORT "nCRAS" 2.900000 MHz ;
|
||||
|
||||
================================================================================
|
||||
Preference: FREQUENCY PORT "RCLK" 62.500000 MHz ;
|
||||
868 items scored, 0 timing errors detected.
|
||||
891 items scored, 0 timing errors detected.
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
@@ -357,7 +355,7 @@ Clock Domains Analysis
|
||||
|
||||
Found 4 clocks:
|
||||
|
||||
Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 12
|
||||
Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11
|
||||
No transfer within this clock domain is found
|
||||
|
||||
Data transfers from:
|
||||
@@ -399,7 +397,7 @@ Timing summary (Hold):
|
||||
Timing errors: 0 Score: 0
|
||||
Cumulative negative slack: 0
|
||||
|
||||
Constraints cover 1015 paths, 4 nets, and 706 connections (71.10% coverage)
|
||||
Constraints cover 1038 paths, 4 nets, and 732 connections (72.40% coverage)
|
||||
|
||||
|
||||
|
||||
|
||||
File diff suppressed because it is too large
Load Diff
@@ -12,7 +12,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
font-weight: bold;
|
||||
margin-top: 24px;
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
@@ -92,8 +92,8 @@ Available General Purpose Flash Memory: 511 Pages (Page 0 to Page 510).
|
||||
</HEAD>
|
||||
<PRE><A name="Bgn"></A>BITGEN: Bitstream Generator Diamond (64-bit) 3.12.1.454
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Sat Nov 18 02:06:29 2023
|
||||
|
||||
@@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
# Written on Thu Oct 19 23:50:50 2023
|
||||
# Written on Sat Nov 18 02:05:44 2023
|
||||
|
||||
##### DESIGN INFO #######################################################
|
||||
|
||||
|
||||
@@ -38,7 +38,7 @@ Performance Hardware Data Status: Final Version 34.4.
|
||||
table
|
||||
{
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
border-collapse: collapse;
|
||||
}
|
||||
@@ -50,99 +50,97 @@ Worst Case Results across Performance Grades (M, 6, 5, 4):
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
text-align:left;
|
||||
font-size:78%;
|
||||
}
|
||||
td {
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
.fail
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
{
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
}
|
||||
font-size:78%;
|
||||
}
|
||||
td {
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
.fail
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
{
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
}
|
||||
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Top"></A><B><U><big>I/O Timing Report</big></U></B>
|
||||
Loading design for application iotiming from file ram2gs_lcmxo2_1200hc_impl1.ncd.
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Top"></A><B><U><big>I/O Timing Report</big></U></B>
|
||||
Loading design for application iotiming from file ram2gs_lcmxo2_1200hc_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-1200HC
|
||||
Package: TQFP100
|
||||
Performance: 5
|
||||
Package Status: Final Version 1.44.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
Loading design for application iotiming from file ram2gs_lcmxo2_1200hc_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
Package Status: Final Version 1.44.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
Loading design for application iotiming from file ram2gs_lcmxo2_1200hc_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-1200HC
|
||||
Package: TQFP100
|
||||
Performance: 6
|
||||
Package Status: Final Version 1.44.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
Loading design for application iotiming from file ram2gs_lcmxo2_1200hc_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-1200HC
|
||||
Package: TQFP100
|
||||
Performance: M
|
||||
Package Status: Final Version 1.44.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
// Design: RAM2GS
|
||||
// Package: TQFP100
|
||||
// ncd File: ram2gs_lcmxo2_1200hc_impl1.ncd
|
||||
// Version: Diamond (64-bit) 3.12.1.454
|
||||
// Written on Thu Oct 19 23:51:14 2023
|
||||
// M: Minimum Performance Grade
|
||||
// iotiming RAM2GS_LCMXO2_1200HC_impl1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml
|
||||
|
||||
I/O Timing Report (All units are in ns)
|
||||
|
||||
Worst Case Results across Performance Grades (M, 6, 5, 4):
|
||||
|
||||
// Input Setup and Hold Times
|
||||
|
||||
Port Clock Edge Setup Performance_Grade Hold Performance_Grade
|
||||
----------------------------------------------------------------------
|
||||
CROW[0] nCRAS F 1.569 4 0.268 6
|
||||
CROW[1] nCRAS F 1.013 4 0.820 4
|
||||
Device: LCMXO2-1200HC
|
||||
Package: TQFP100
|
||||
Performance: 6
|
||||
Package Status: Final Version 1.44.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
Loading design for application iotiming from file ram2gs_lcmxo2_1200hc_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-1200HC
|
||||
Package: TQFP100
|
||||
Performance: M
|
||||
Package Status: Final Version 1.44.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
// Design: RAM2GS
|
||||
// Package: TQFP100
|
||||
// ncd File: ram2gs_lcmxo2_1200hc_impl1.ncd
|
||||
// Version: Diamond (64-bit) 3.12.1.454
|
||||
// Written on Sat Nov 18 02:06:17 2023
|
||||
// M: Minimum Performance Grade
|
||||
// iotiming RAM2GS_LCMXO2_1200HC_impl1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml
|
||||
|
||||
I/O Timing Report (All units are in ns)
|
||||
|
||||
Worst Case Results across Performance Grades (M, 6, 5, 4):
|
||||
|
||||
// Input Setup and Hold Times
|
||||
|
||||
Port Clock Edge Setup Performance_Grade Hold Performance_Grade
|
||||
----------------------------------------------------------------------
|
||||
CROW[0] nCRAS F 3.288 4 -0.390 M
|
||||
CROW[1] nCRAS F 2.823 4 -0.285 M
|
||||
Din[0] PHI2 F 6.398 4 4.293 4
|
||||
Din[0] nCCAS F 1.411 4 -0.004 M
|
||||
Din[1] PHI2 F 3.916 4 4.173 4
|
||||
Din[1] nCCAS F 1.877 4 -0.123 M
|
||||
Din[2] PHI2 F 6.180 4 4.173 4
|
||||
Din[2] nCCAS F 0.811 4 0.583 4
|
||||
Din[3] PHI2 F 3.810 4 4.173 4
|
||||
Din[2] nCCAS F 1.548 4 -0.062 M
|
||||
Din[3] PHI2 F 5.536 4 4.173 4
|
||||
Din[3] nCCAS F 0.467 4 0.734 4
|
||||
|
||||
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
@@ -23,19 +23,19 @@ Target Vendor: LATTICE
|
||||
h3 {
|
||||
font-weight: bold;
|
||||
margin-top: 12px;
|
||||
margin-bottom: 5px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
p {
|
||||
font-size:78%;
|
||||
}
|
||||
font-size:78%;
|
||||
}
|
||||
P.Table {
|
||||
margin-top: 4px;
|
||||
margin-bottom: 4px;
|
||||
margin-top: 4px;
|
||||
margin-bottom: 4px;
|
||||
margin-right: 4px;
|
||||
margin-left: 4px;
|
||||
}
|
||||
table
|
||||
}
|
||||
table
|
||||
{
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
@@ -80,13 +80,13 @@ Mapped on: 10/19/23 23:50:56
|
||||
.fail
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
}
|
||||
.comment
|
||||
{
|
||||
font-size: 90%;
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
}
|
||||
|
||||
}
|
||||
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
@@ -96,16 +96,16 @@ Mapped on: 10/19/23 23:50:56
|
||||
|
||||
|
||||
<A name="mrp_di"></A><B><U><big>Design Information</big></U></B>
|
||||
|
||||
Command line: map -a MachXO2 -p LCMXO2-1200HC -t TQFP100 -s 4 -oc Commercial
|
||||
RAM2GS_LCMXO2_1200HC_impl1.ngd -o RAM2GS_LCMXO2_1200HC_impl1_map.ncd -pr
|
||||
|
||||
Command line: map -a MachXO2 -p LCMXO2-1200HC -t TQFP100 -s 4 -oc Commercial
|
||||
RAM2GS_LCMXO2_1200HC_impl1.ngd -o RAM2GS_LCMXO2_1200HC_impl1_map.ncd -pr
|
||||
c/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_s
|
||||
ynplify.lpf -lpf //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.lpf -c 0 -gui
|
||||
-msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml
|
||||
Target Vendor: LATTICE
|
||||
RAM2GS_LCMXO2_1200HC_impl1.prf -mp RAM2GS_LCMXO2_1200HC_impl1.mrp -lpf //Ma
|
||||
c/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_s
|
||||
ynplify.lpf -lpf //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.lpf -c 0 -gui
|
||||
-msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml
|
||||
Target Vendor: LATTICE
|
||||
Target Device: LCMXO2-1200HCTQFP100
|
||||
Target Performance: 4
|
||||
Mapper: xo2c00, version: Diamond (64-bit) 3.12.1.454
|
||||
Mapped on: 11/18/23 02:05:52
|
||||
|
||||
@@ -399,7 +399,7 @@ Instance Name: ufmefb/EFBInst_0
|
||||
Signal ufmefb/PLLDATO2 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/PLLDATO3 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/PLLDATO4 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/PLLDATO5 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/PLLDATO5 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/PLLDATO6 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/PLLDATO7 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/PLLADRO0 undriven or does not drive anything - clipped.
|
||||
|
||||
@@ -14,7 +14,7 @@ Performance Grade: 4
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
margin-top: 18px;
|
||||
margin-bottom: 5px;
|
||||
@@ -61,12 +61,12 @@ Pinout by Port Name:
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
@@ -144,7 +144,7 @@ Vccio by Bank:
|
||||
| RA[5] | 70/1 | LVCMOS33_OUT | PR3B | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[6] | 68/1 | LVCMOS33_OUT | PR4B | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[7] | 75/1 | LVCMOS33_OUT | PR2A | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[8] | 65/1 | LVCMOS33_OUT | PR5A | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[8] | 65/1 | LVCMOS33_OUT | PR5A | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[9] | 62/1 | LVCMOS33_OUT | PR5D | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RBA[0] | 58/1 | LVCMOS33_OUT | PR9A | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RBA[1] | 47/2 | LVCMOS33_OUT | PB18D | | | DRIVE:4mA SLEW:SLOW |
|
||||
@@ -154,9 +154,9 @@ Vccio by Bank:
|
||||
| RDQMH | 51/1 | LVCMOS33_OUT | PR10D | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RDQML | 48/2 | LVCMOS33_OUT | PB20C | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RD[0] | 36/2 | LVCMOS33_BIDI | PB11C | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
| RD[1] | 37/2 | LVCMOS33_BIDI | PB11D | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
| RD[1] | 37/2 | LVCMOS33_BIDI | PB11D | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
| RD[2] | 38/2 | LVCMOS33_BIDI | PB11A | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
| RD[3] | 39/2 | LVCMOS33_BIDI | PB11B | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
| RD[3] | 39/2 | LVCMOS33_BIDI | PB11B | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
| RD[4] | 40/2 | LVCMOS33_BIDI | PB15A | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
| RD[5] | 41/2 | LVCMOS33_BIDI | PB15B | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
| RD[6] | 42/2 | LVCMOS33_BIDI | PB18A | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
@@ -274,12 +274,12 @@ LOCATE COMP "RA[5]" SITE "70";
|
||||
| PB4A/2 | unused, PULL:DOWN | | | PB4A | | | |
|
||||
| PB4B/2 | unused, PULL:DOWN | | | PB4B | | | |
|
||||
| PB9C/2 | unused, PULL:DOWN | | | PB9C | | | |
|
||||
| PB9D/2 | unused, PULL:DOWN | | | PB9D | | | |
|
||||
| PB9D/2 | unused, PULL:DOWN | | | PB9D | | | |
|
||||
| PB15C/2 | unused, PULL:DOWN | | | PB15C | | | |
|
||||
| PB15D/2 | unused, PULL:DOWN | | | PB15D | | | |
|
||||
| PB15D/2 | unused, PULL:DOWN | | | PB15D | | | |
|
||||
| PB20A/2 | unused, PULL:DOWN | | | PB20A | | | |
|
||||
| PB20B/2 | unused, PULL:DOWN | | | PB20B | | | |
|
||||
| PL2A/3 | unused, PULL:DOWN | | | PL2A | L_GPLLT_FB | | |
|
||||
| PL2A/3 | unused, PULL:DOWN | | | PL2A | L_GPLLT_FB | | |
|
||||
| PL2B/3 | unused, PULL:DOWN | | | PL2B | L_GPLLC_FB | | |
|
||||
| PL4C/3 | unused, PULL:DOWN | | | PL4C | | | |
|
||||
| PL4D/3 | unused, PULL:DOWN | | | PL4D | | | |
|
||||
@@ -308,7 +308,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
| PT11D | TMS | JTAG_PORT=ENABLE | 90/0 | | PULLUP |
|
||||
| PT11C | TCK/TEST_CLK | JTAG_PORT=ENABLE | 91/0 | | NO pull up/down |
|
||||
| PT10D | TDI/MD7 | JTAG_PORT=ENABLE | 94/0 | | PULLUP |
|
||||
| PT10C | TDO | JTAG_PORT=ENABLE | 95/0 | | PULLUP |
|
||||
| PT10C | TDO | JTAG_PORT=ENABLE | 95/0 | | PULLUP |
|
||||
+----------+--------------------+--------------------+----------+-------------+-------------------+
|
||||
|
||||
Dedicated sysCONFIG Pins:
|
||||
|
||||
@@ -12,7 +12,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
font-weight: bold;
|
||||
margin-top: 24px;
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
@@ -26,17 +26,17 @@ Preference file: RAM2GS_LCMXO2_1200HC_impl1.prf.
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
p {
|
||||
p {
|
||||
font-size:78%;
|
||||
}
|
||||
P.Table {
|
||||
P.Table {
|
||||
margin-top: 4px;
|
||||
margin-bottom: 4px;
|
||||
margin-right: 4px;
|
||||
margin-left: 4px;
|
||||
}
|
||||
table
|
||||
{
|
||||
{
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
@@ -67,43 +67,43 @@ Ignore Preference Error(s): True
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
}
|
||||
.pass
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
.fail
|
||||
{
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
.comment
|
||||
{
|
||||
font-style: italic;
|
||||
font-size: 90%;
|
||||
|
||||
font-style: italic;
|
||||
}
|
||||
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Par"></A>PAR: Place And Route Diamond (64-bit) 3.12.1.454.
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Thu Oct 19 23:50:59 2023
|
||||
|
||||
C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO2_1200HC_impl1.p2t
|
||||
Sat Nov 18 02:05:57 2023
|
||||
|
||||
C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO2_1200HC_impl1.p2t
|
||||
RAM2GS_LCMXO2_1200HC_impl1_map.ncd RAM2GS_LCMXO2_1200HC_impl1.dir
|
||||
RAM2GS_LCMXO2_1200HC_impl1.prf -gui -msgset
|
||||
//Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml
|
||||
|
||||
|
||||
|
||||
|
||||
Preference file: RAM2GS_LCMXO2_1200HC_impl1.prf.
|
||||
|
||||
<A name="par_cts"></A><B><U><big>Cost Table Summary</big></U></B>
|
||||
@@ -119,11 +119,11 @@ Global Clock Resources:
|
||||
|
||||
Note: user must run 'Trace' for timing closure signoff.
|
||||
|
||||
Lattice Place and Route Report for Design "RAM2GS_LCMXO2_1200HC_impl1_map.ncd"
|
||||
Lattice Place and Route Report for Design "RAM2GS_LCMXO2_1200HC_impl1_map.ncd"
|
||||
Sat Nov 18 02:05:57 2023
|
||||
|
||||
|
||||
<A name="par_best"></A><B><U><big>Best Par Run</big></U></B>
|
||||
|
||||
<A name="par_best"></A><B><U><big>Best Par Run</big></U></B>
|
||||
PAR: Place And Route Diamond (64-bit) 3.12.1.454.
|
||||
Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO2_1200HC_impl1_map.ncd RAM2GS_LCMXO2_1200HC_impl1.dir/5_1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf
|
||||
Preference file: RAM2GS_LCMXO2_1200HC_impl1.prf.
|
||||
@@ -147,17 +147,16 @@ I/O Bank Usage Summary:
|
||||
|
||||
<A name="par_dus"></A><B><U><big>Device utilization summary:</big></U></B>
|
||||
|
||||
PIO (prelim) 64+4(JTAG)/108 63% used
|
||||
PIO (prelim) 64+4(JTAG)/108 63% used
|
||||
64+4(JTAG)/80 85% bonded
|
||||
IOLOGIC 26/108 24% used
|
||||
|
||||
SLICE 115/640 17% used
|
||||
SLICE 120/640 18% used
|
||||
|
||||
EFB 1/1 100% used
|
||||
EFB 1/1 100% used
|
||||
|
||||
|
||||
|
||||
Number of Connections: 993
|
||||
Number of Signals: 389
|
||||
Number of Connections: 1011
|
||||
|
||||
Pin Constraint Summary:
|
||||
@@ -172,50 +171,41 @@ Note: NBR uses a different method to calculate timing slacks. The
|
||||
The following 2 signals are selected to use the secondary clock routing resources:
|
||||
nCRAS_c (driver: nCRAS, clk load #: 9, sr load #: 0, ce load #: 0)
|
||||
nCCAS_c (driver: nCCAS, clk load #: 8, sr load #: 0, ce load #: 0)
|
||||
|
||||
|
||||
WARNING - par: Signal "nCRAS_c" is selected to use Secondary clock resources. However, its driver comp "nCRAS" is located at "17", which is not a dedicated pin for connecting to Secondary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
|
||||
No signal is selected as Global Set/Reset.
|
||||
WARNING - par: Signal "nCCAS_c" is selected to use Secondary clock resources. However, its driver comp "nCCAS" is located at "9", which is not a dedicated pin for connecting to Secondary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
|
||||
No signal is selected as Global Set/Reset.
|
||||
........
|
||||
Finished Placer Phase 0. REAL time: 2 secs
|
||||
Starting Placer Phase 0.
|
||||
.........
|
||||
Finished Placer Phase 0. REAL time: 0 secs
|
||||
Starting Placer Phase 1.
|
||||
.....................
|
||||
|
||||
Starting Placer Phase 1.
|
||||
....................
|
||||
Finished Placer Phase 1. REAL time: 6 secs
|
||||
|
||||
Placer score = 71673.
|
||||
Finished Placer Phase 1. REAL time: 8 secs
|
||||
|
||||
.
|
||||
Placer score = 66494
|
||||
Starting Placer Phase 2.
|
||||
.
|
||||
Placer score = 70957
|
||||
Finished Placer Phase 2. REAL time: 8 secs
|
||||
|
||||
|
||||
<A name="par_clk"></A><B><U><big>Clock Report</big></U></B>
|
||||
|
||||
<A name="par_clk"></A><B><U><big>Clock Report</big></U></B>
|
||||
Global Clock Resources:
|
||||
CLK_PIN : 1 out of 8 (12%)
|
||||
|
||||
Global Clock Resources:
|
||||
CLK_PIN : 1 out of 8 (12%)
|
||||
PLL : 0 out of 1 (0%)
|
||||
DCM : 0 out of 2 (0%)
|
||||
DCC : 0 out of 8 (0%)
|
||||
|
||||
Global Clocks:
|
||||
PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "63 (PR5C)", clk load = 48
|
||||
PRIMARY "PHI2_c" from comp "PHI2" on PIO site "8 (PL3D)", clk load = 20
|
||||
PRIMARY "nCRAS_c" from comp "nCRAS" on PIO site "17 (PL8B)", clk load = 10
|
||||
SECONDARY "nCCAS_c" from comp "nCCAS" on PIO site "9 (PL4A)", clk load = 8, ce load = 0, sr load = 0
|
||||
General PIO: 3 out of 108 (2%)
|
||||
PRIMARY : 3 out of 8 (37%)
|
||||
PLL : 0 out of 1 (0%)
|
||||
DCM : 0 out of 2 (0%)
|
||||
|
||||
DCC : 0 out of 8 (0%)
|
||||
|
||||
No edge clock selected.
|
||||
Global Clocks:
|
||||
PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "63 (PR5C)", clk load = 48
|
||||
PRIMARY "PHI2_c" from comp "PHI2" on PIO site "8 (PL3D)", clk load = 20
|
||||
|
||||
SECONDARY "nCRAS_c" from comp "nCRAS" on PIO site "17 (PL8B)", clk load = 9, ce load = 0, sr load = 0
|
||||
SECONDARY "nCCAS_c" from comp "nCCAS" on PIO site "9 (PL4A)", clk load = 8, ce load = 0, sr load = 0
|
||||
I/O Usage Summary (final):
|
||||
|
||||
PRIMARY : 2 out of 8 (25%)
|
||||
SECONDARY: 2 out of 8 (25%)
|
||||
|
||||
@@ -223,17 +213,17 @@ NBR Summary
|
||||
No edge clock selected.
|
||||
|
||||
|
||||
| I/O Bank | Usage | Bank Vccio | Bank Vref |
|
||||
|
||||
|
||||
I/O Usage Summary (final):
|
||||
64 + 4(JTAG) out of 108 (63.0%) PIO sites used.
|
||||
64 + 4(JTAG) out of 80 (85.0%) bonded PIO sites used.
|
||||
Number of PIO comps: 64; differential: 0.
|
||||
Number of Vref pins used: 0.
|
||||
|
||||
Total placer CPU time: 5 secs
|
||||
|
||||
I/O Bank Usage Summary:
|
||||
+----------+----------------+------------+-----------+
|
||||
Dumping design to file RAM2GS_LCMXO2_1200HC_impl1.dir/5_1.ncd.
|
||||
| I/O Bank | Usage | Bank Vccio | Bank Vref |
|
||||
+----------+----------------+------------+-----------+
|
||||
| 0 | 13 / 19 ( 68%) | 3.3V | - |
|
||||
| 1 | 20 / 21 ( 95%) | 3.3V | - |
|
||||
@@ -247,14 +237,14 @@ All signals are completely routed.
|
||||
|
||||
0 connections routed; 1011 unrouted.
|
||||
Starting router resource preassignment
|
||||
routed as short as possible. The routing process is said to
|
||||
|
||||
Completed router resource preassignment. Real time: 13 secs
|
||||
|
||||
Start NBR router at 02:06:10 11/18/23
|
||||
|
||||
*****************************************************************
|
||||
your design.
|
||||
*****************************************************************
|
||||
Info: NBR allows conflicts(one node used by more than one signal)
|
||||
in the earlier iterations. In each iteration, it tries to
|
||||
solve the conflicts while keeping the critical connections
|
||||
routed as short as possible. The routing process is said to
|
||||
be completed when no conflicts exist and all connections
|
||||
|
||||
@@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
# Written on Thu Oct 19 23:50:49 2023
|
||||
# Written on Sat Nov 18 02:05:43 2023
|
||||
|
||||
##### FILES SYNTAX CHECKED ##############################################
|
||||
Constraint File(s): "\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS.sdc"
|
||||
|
||||
@@ -24,9 +24,9 @@
|
||||
font-weight: bold;
|
||||
margin-top: 12px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
p {
|
||||
p {
|
||||
font-size:78%;
|
||||
}
|
||||
P.Table {
|
||||
@@ -62,7 +62,7 @@
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
|
||||
@@ -12,7 +12,7 @@
|
||||
font-weight: bold;
|
||||
margin-top: 24px;
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
@@ -60,19 +60,17 @@ Synopsys Verilog Compiler, Version comp202103synp2, Build 093R, Built Aug 10 202
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
color:#013C9A;
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
a:hover, a:active {
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
}
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
@@ -80,9 +78,6 @@ Finished optimization stage 1 on EFB (CPU Time 0h:00m:00s, Memory Used current:
|
||||
background-color: #00ff00;
|
||||
}
|
||||
.fail
|
||||
}
|
||||
.comment
|
||||
{
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
@@ -98,12 +93,12 @@ Finished optimization stage 2 on VHI (CPU Time 0h:00m:00s, Memory Used current:
|
||||
<PRE><A name="Syn"></A><B><U><big>Synthesis Report</big></U></B>
|
||||
#Build: Synplify Pro (R) R-2021.03L-SP1, Build 093R, Aug 10 2021
|
||||
#install: C:\lscc\diamond\3.12\synpbase
|
||||
#Implementation: impl1
|
||||
#OS: Windows 8 6.2
|
||||
#Hostname: ZANEMACWIN11
|
||||
|
||||
# Sat Nov 18 02:05:40 2023
|
||||
|
||||
and may only be used pursuant to the terms and conditions of a written license agreement
|
||||
#Implementation: impl1
|
||||
|
||||
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
@@ -130,7 +125,7 @@ At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s;
|
||||
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
|
||||
Synopsys software or the associated documentation is strictly prohibited.
|
||||
Tool: Synplify Pro (R)
|
||||
|
||||
Build: R-2021.03L-SP1
|
||||
Install: C:\lscc\diamond\3.12\synpbase
|
||||
OS: Windows 6.2
|
||||
|
||||
@@ -145,7 +140,7 @@ At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\hypermods.v" (library __hyper__lib__)
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\umr_capim.v" (library snps_haps)
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_objects.v" (library snps_haps)
|
||||
Compiler output is up to date. No re-compile necessary
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_pipes.svh" (library snps_haps)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v" (library work)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\REFB.v" (library work)
|
||||
Verilog syntax check successful!
|
||||
@@ -173,10 +168,10 @@ At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s;
|
||||
Finished optimization stage 2 on RAM2GS (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Running optimization stage 2 on REFB .......
|
||||
Finished optimization stage 2 on REFB (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Running optimization stage 2 on EFB .......
|
||||
Running optimization stage 2 on EFB .......
|
||||
Finished optimization stage 2 on EFB (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Running optimization stage 2 on VLO .......
|
||||
Finished optimization stage 2 on VLO (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Finished optimization stage 2 on VLO (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Running optimization stage 2 on VHI .......
|
||||
Finished optimization stage 2 on VHI (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Running optimization stage 2 on ODDRXE .......
|
||||
@@ -195,7 +190,7 @@ Implementation : impl1
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
|
||||
and may only be used pursuant to the terms and conditions of a written license agreement
|
||||
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
|
||||
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
|
||||
Synopsys software or the associated documentation is strictly prohibited.
|
||||
Tool: Synplify Pro (R)
|
||||
Build: R-2021.03L-SP1
|
||||
@@ -222,7 +217,6 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h
|
||||
==========================================================
|
||||
@L: A:\\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2GS_LCMXO2_1200HC_impl1_comp.rt.csv
|
||||
|
||||
@END
|
||||
@END
|
||||
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 30MB peak: 31MB)
|
||||
@@ -248,11 +242,11 @@ Finished clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapse
|
||||
Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2GS_LCMXO2_1200HC_impl1_comp.srs changed - recompiling
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 99MB)
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 99MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
@@ -333,13 +327,13 @@ Finished constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:0
|
||||
|
||||
|
||||
Finished clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
|
||||
|
||||
|
||||
@N: FX1184 |Applying syn_allowed_resources blockrams=7 on top level netlist RAM2GS
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB)
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
|
||||
|
||||
|
||||
|
||||
|
||||
Clock Summary
|
||||
******************
|
||||
|
||||
@@ -358,42 +352,42 @@ Implementation : impl1
|
||||
========================================================================================
|
||||
|
||||
|
||||
|
||||
|
||||
Clock Load Summary
|
||||
***********************
|
||||
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
-----------------------------------------------------------------------------------------
|
||||
-----------------------------------------------------------------------------------------
|
||||
RCLK 65 RCLK(port) CASr2.C - -
|
||||
|
||||
PHI2 19 PHI2(port) Bank[7:0].C PHI2r.D[0] un1_PHI2.I[0](inv)
|
||||
PHI2 19 PHI2(port) Bank[7:0].C PHI2r.D[0] un1_PHI2.I[0](inv)
|
||||
|
||||
nCRAS 14 nCRAS(port) CBR.C RASr.D[0] RASr_2.I[0](inv)
|
||||
|
||||
nCCAS 8 nCCAS(port) WRD[7:0].C CASr.D[0] CASr_2.I[0](inv)
|
||||
|
||||
System 0 - - - -
|
||||
System 0 - - - -
|
||||
=========================================================================================
|
||||
|
||||
ICG Latch Removal Summary:
|
||||
Number of ICG latches removed: 0
|
||||
Number of ICG latches removed: 0
|
||||
Number of ICG latches not removed: 0
|
||||
For details review file gcc_ICG_report.rpt
|
||||
|
||||
|
||||
|
||||
@S |Clock Optimization Summary
|
||||
|
||||
@S |Clock Optimization Summary
|
||||
|
||||
|
||||
|
||||
#### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[
|
||||
|
||||
4 non-gated/non-generated clock tree(s) driving 106 clock pin(s) of sequential element(s)
|
||||
0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
|
||||
0 instances converted, 0 sequential instances remain driven by gated/generated clocks
|
||||
0 instances converted, 0 sequential instances remain driven by gated/generated clocks
|
||||
|
||||
=========================== Non-Gated/Non-Generated Clocks ============================
|
||||
Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance
|
||||
Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance
|
||||
---------------------------------------------------------------------------------------
|
||||
@KP:ckid0_0 RCLK port 65 nRWE
|
||||
@KP:ckid0_1 PHI2 port 19 RA11
|
||||
@@ -415,63 +409,51 @@ Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CP
|
||||
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 183MB peak: 183MB)
|
||||
|
||||
Pre-mapping successful!
|
||||
Pre-mapping successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 99MB peak: 185MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Thu Oct 19 23:50:50 2023
|
||||
|
||||
###########################################################]
|
||||
# Thu Oct 19 23:50:50 2023
|
||||
|
||||
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
|
||||
and may only be used pursuant to the terms and conditions of a written license agreement
|
||||
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Sat Nov 18 02:05:44 2023
|
||||
|
||||
###########################################################]
|
||||
# Sat Nov 18 02:05:44 2023
|
||||
|
||||
|
||||
Tool: Synplify Pro (R)
|
||||
Build: R-2021.03L-SP1
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
|
||||
and may only be used pursuant to the terms and conditions of a written license agreement
|
||||
OS: Windows 6.2
|
||||
|
||||
Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
|
||||
Synopsys software or the associated documentation is strictly prohibited.
|
||||
Tool: Synplify Pro (R)
|
||||
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB)
|
||||
|
||||
@N: MF916 |Option synthesis_strategy=base is enabled.
|
||||
Build: R-2021.03L-SP1
|
||||
Install: C:\lscc\diamond\3.12\synpbase
|
||||
@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
|
||||
OS: Windows 6.2
|
||||
|
||||
Hostname: ZANEMACWIN11
|
||||
|
||||
|
||||
Implementation : impl1
|
||||
Synopsys Lattice Technology Mapper, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @
|
||||
|
||||
|
||||
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB)
|
||||
|
||||
@N: MF916 |Option synthesis_strategy=base is enabled.
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 146MB)
|
||||
@N: MF248 |Running in 64-bit mode.
|
||||
@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
|
||||
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB)
|
||||
|
||||
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB)
|
||||
|
||||
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":169:4:169:9|Found counter in view:work.RAM2GS(verilog) instance IS[3:0]
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB)
|
||||
|
||||
|
||||
@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved.
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 147MB)
|
||||
|
||||
@N: FX493 |Applying initial value "0" on instance IS[2].
|
||||
|
||||
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 179MB peak: 179MB)
|
||||
|
||||
@@ -480,7 +462,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:0
|
||||
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":166:4:166:9|Found counter in view:work.RAM2GS(verilog) instance IS[3:0]
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":153:4:153:9|Found counter in view:work.RAM2GS(verilog) instance FS[17:0]
|
||||
Available hyper_sources - for debug and ip models
|
||||
@N: FX493 |Applying initial value "0" on instance IS[0].
|
||||
@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved.
|
||||
@N: FX493 |Applying initial value "0" on instance IS[1].
|
||||
@N: FX493 |Applying initial value "0" on instance IS[2].
|
||||
@@ -500,15 +482,15 @@ Performance Summary
|
||||
|
||||
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 187MB peak: 187MB)
|
||||
|
||||
|
||||
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
|
||||
4 0h:00m:01s -2.76ns 206 / 106
|
||||
|
||||
|
||||
6 0h:00m:01s -2.76ns 205 / 106
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
|
||||
|
||||
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 197MB peak: 197MB)
|
||||
@@ -531,12 +513,12 @@ Starting Ending | constraint slack | constraint slack | constraint
|
||||
5 0h:00m:02s -1.97ns 220 / 109
|
||||
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 197MB peak: 197MB)
|
||||
|
||||
|
||||
@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.
|
||||
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 198MB peak: 198MB)
|
||||
|
||||
|
||||
|
||||
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 160MB peak: 198MB)
|
||||
|
||||
Writing Analyst data base \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-1200HC\impl1\synwork\RAM2GS_LCMXO2_1200HC_impl1_m.srm
|
||||
@@ -570,30 +552,30 @@ CmdLEDEN PHI2 FD1P3AX Q CmdLEDEN 1.044
|
||||
|
||||
Top view: RAM2GS
|
||||
Requested Frequency: 2.9 MHz
|
||||
Wire load mode: top
|
||||
Paths requested: 5
|
||||
Constraint File(s): \\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS.sdc
|
||||
Wire load mode: top
|
||||
Paths requested: 5
|
||||
Constraint File(s): \\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS.sdc
|
||||
|
||||
@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report.
|
||||
|
||||
@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock.
|
||||
|
||||
|
||||
|
||||
Performance Summary
|
||||
*******************
|
||||
|
||||
|
||||
Worst slack in design: -1.828
|
||||
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
-------------------------------------------------------------------------------------------------------------------
|
||||
PHI2 2.9 MHz 1.0 MHz 350.000 989.870 -1.828 declared default_clkgroup
|
||||
RCLK 62.5 MHz 22.1 MHz 16.000 45.251 -0.784 declared default_clkgroup
|
||||
nCCAS 2.9 MHz NA 350.000 NA NA declared default_clkgroup
|
||||
nCRAS 2.9 MHz 1.1 MHz 350.000 942.410 -1.693 declared default_clkgroup
|
||||
System 100.0 MHz NA 10.000 NA 12.918 system system_clkgroup
|
||||
|
||||
Performance Summary
|
||||
*******************
|
||||
|
||||
|
||||
Worst slack in design: -2.605
|
||||
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
-------------------------------------------------------------------------------------------------------------------
|
||||
PHI2 2.9 MHz 1.0 MHz 350.000 989.870 -1.828 declared default_clkgroup
|
||||
RCLK 62.5 MHz 17.3 MHz 16.000 57.686 -0.784 declared default_clkgroup
|
||||
nCCAS 2.9 MHz NA 350.000 NA NA declared default_clkgroup
|
||||
nCRAS 2.9 MHz 0.8 MHz 350.000 1261.890 -2.605 declared default_clkgroup
|
||||
System 100.0 MHz NA 10.000 NA 12.918 system system_clkgroup
|
||||
===================================================================================================================
|
||||
Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
|
||||
|
||||
@@ -609,7 +591,7 @@ Path information for path number 1:
|
||||
Clock Relationships
|
||||
*******************
|
||||
|
||||
Clocks | rise to rise | fall to fall | rise to fall | fall to rise
|
||||
Clocks | rise to rise | fall to fall | rise to fall | fall to rise
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
@@ -624,7 +606,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
===============================================================================================================
|
||||
Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
|
||||
'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
|
||||
|
||||
|
||||
|
||||
|
||||
Interface Information
|
||||
@@ -637,7 +619,7 @@ Path information for path number 2:
|
||||
====================================
|
||||
Detailed Report for Clock: PHI2
|
||||
====================================
|
||||
|
||||
|
||||
|
||||
|
||||
Starting Points with Worst Slack
|
||||
@@ -652,7 +634,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdValid PHI2 FD1S3AX Q CmdValid 1.108 -1.725
|
||||
CmdLEDEN PHI2 FD1P3AX Q CmdLEDEN 1.044 -1.589
|
||||
Cmdn8MEGEN PHI2 FD1P3AX Q Cmdn8MEGEN 1.044 -1.589
|
||||
CmdUFMWrite PHI2 FD1P3AX Q CmdUFMWrite 1.044 -0.572
|
||||
CmdUFMWrite PHI2 FD1P3AX Q CmdUFMWrite 1.044 -0.572
|
||||
CmdUFMData PHI2 FD1P3AX Q CmdUFMData 0.972 -0.500
|
||||
Bank_0io[1] PHI2 IFS1P3DX Q Bank[1] 0.972 169.081
|
||||
Bank_0io[2] PHI2 IFS1P3DX Q Bank[2] 0.972 169.081
|
||||
@@ -665,7 +647,7 @@ Path information for path number 3:
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
Clock
|
||||
--------------------------------------------------------------------------------
|
||||
wb_adr[0] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[1] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
@@ -680,7 +662,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
================================================================================
|
||||
|
||||
|
||||
|
||||
|
||||
Worst Path Information
|
||||
***********************
|
||||
|
||||
@@ -693,7 +675,7 @@ Path information for path number 4:
|
||||
|
||||
- Propagation time: 2.357
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (critical) : -1.828
|
||||
= Slack (non-critical) : -1.828
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: CmdUFMShift / Q
|
||||
@@ -708,7 +690,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
N_122 Net - - - - 17
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[0] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
@@ -721,7 +703,7 @@ Path information for path number 5:
|
||||
|
||||
- Propagation time: 2.357
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (critical) : -1.828
|
||||
= Slack (non-critical) : -1.828
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: CmdUFMShift / Q
|
||||
@@ -736,7 +718,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
N_122 Net - - - - 17
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[7] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
@@ -759,13 +741,13 @@ Instance Reference Type Pin Net Time Slac
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
-----------------------------------------------------------------------------------------
|
||||
CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
N_122 Net - - - - 17
|
||||
wb_adr[6] FD1P3AX SP In 0.000 2.357 r -
|
||||
-----------------------------------------------------------------------------------------
|
||||
CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[6] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
|
||||
@@ -860,6 +842,34 @@ Path information for path number 3:
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
------------------------------------------------------------------------------------
|
||||
RBA_0io[0] RCLK OFS1P3DX D RBAd_0[0] 1.089 -0.784
|
||||
RBA_0io[1] RCLK OFS1P3DX D RBAd_0[1] 1.089 -0.784
|
||||
RowA[0] RCLK FD1S3AX D RowAd_0[0] 1.089 -0.784
|
||||
RowA[1] RCLK FD1S3AX D RowAd_0[1] 1.089 -0.784
|
||||
RowA[2] RCLK FD1S3AX D RowAd_0[2] 1.089 -0.784
|
||||
RowA[3] RCLK FD1S3AX D RowAd_0[3] 1.089 -0.784
|
||||
RowA[4] RCLK FD1S3AX D RowAd_0[4] 1.089 -0.784
|
||||
RowA[5] RCLK FD1S3AX D RowAd_0[5] 1.089 -0.784
|
||||
RowA[6] RCLK FD1S3AX D RowAd_0[6] 1.089 -0.784
|
||||
RowA[7] RCLK FD1S3AX D RowAd_0[7] 1.089 -0.784
|
||||
====================================================================================
|
||||
|
||||
|
||||
|
||||
Worst Path Information
|
||||
***********************
|
||||
|
||||
|
||||
Path information for path number 1:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 1.873
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -0.784
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RBA_0io[0] / D
|
||||
@@ -878,34 +888,6 @@ RowA[1] FD1S3AX D In 0.000 1.873 r -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 1:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 1.873
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -0.784
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RBA_0io[0] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
|
||||
Ready_fast Net - - - - 14
|
||||
RBAd[0] ORCALUT4 B In 0.000 1.256 r -
|
||||
RBAd[0] ORCALUT4 Z Out 0.617 1.873 r -
|
||||
RBAd_0[0] Net - - - - 1
|
||||
RBA_0io[0] OFS1P3DX D In 0.000 1.873 r -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 2:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
@@ -918,7 +900,7 @@ Path information for path number 5:
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RowA[9] / D
|
||||
Ending point: RowA[9] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
|
||||
@@ -927,10 +909,10 @@ Name Type Name Dir Delay Time Fan Out(s
|
||||
---------------------------------------------------------------------------------
|
||||
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
|
||||
Ready_fast Net - - - - 14
|
||||
RowAd[9] ORCALUT4 B In 0.000 1.256 r -
|
||||
RowAd[9] ORCALUT4 Z Out 0.617 1.873 f -
|
||||
RowAd_0[9] Net - - - - 1
|
||||
RowA[9] FD1S3AX D In 0.000 1.873 f -
|
||||
RowAd[9] ORCALUT4 B In 0.000 1.256 r -
|
||||
RowAd[9] ORCALUT4 Z Out 0.617 1.873 f -
|
||||
RowAd_0[9] Net - - - - 1
|
||||
RowA[9] FD1S3AX D In 0.000 1.873 f -
|
||||
=================================================================================
|
||||
|
||||
|
||||
@@ -945,15 +927,14 @@ Detailed Report for Clock: nCRAS
|
||||
= Slack (non-critical) : -0.784
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RowA[1] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RBA_0io[1] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
|
||||
Ready_fast Net - - - - 14
|
||||
RBAd[1] ORCALUT4 B In 0.000 1.256 r -
|
||||
@@ -963,11 +944,11 @@ Ending Points with Worst Slack
|
||||
=================================================================================
|
||||
|
||||
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
Path information for path number 4:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 1.873
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
@@ -982,29 +963,32 @@ Path information for path number 1:
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
Ready_fast Net - - - - 14
|
||||
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
|
||||
Ready_fast Net - - - - 14
|
||||
RowAd[4] ORCALUT4 Z Out 0.617 1.873 r -
|
||||
RowAd[1] ORCALUT4 B In 0.000 1.256 r -
|
||||
RowAd[1] ORCALUT4 Z Out 0.617 1.873 r -
|
||||
RowA[4] FD1S3AX D In 0.000 1.873 r -
|
||||
=================================================================================
|
||||
RowAd_0[1] Net - - - - 1
|
||||
RowA[1] FD1S3AX D In 0.000 1.873 r -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 5:
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 1.873
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -0.784
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RowA[2] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 1.873
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -0.784
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RowA[5] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
|
||||
@@ -1013,29 +997,29 @@ Path information for path number 2:
|
||||
RowAd[5] ORCALUT4 Z Out 0.617 1.873 f -
|
||||
RowAd_0[5] Net - - - - 1
|
||||
RowA[5] FD1S3AX D In 0.000 1.873 f -
|
||||
RowAd_0[2] Net - - - - 1
|
||||
=================================================================================
|
||||
|
||||
=================================================================================
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
====================================
|
||||
Detailed Report for Clock: nCRAS
|
||||
====================================
|
||||
Detailed Report for Clock: nCRAS
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
Starting Points with Worst Slack
|
||||
********************************
|
||||
|
||||
Starting Arrival
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
--------------------------------------------------------------------------------
|
||||
CBR nCRAS FD1S3AX Q CBR 1.148 -1.693
|
||||
FWEr nCRAS FD1S3AX Q FWEr 1.148 -1.693
|
||||
CBR_fast nCRAS FD1S3AX Q CBR_fast 1.044 -1.661
|
||||
|
||||
Starting Points with Worst Slack
|
||||
********************************
|
||||
|
||||
Starting Arrival
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
------------------------------------------------------------------------------
|
||||
CBR_fast nCRAS FD1S3AX Q CBR_fast 0.972 -2.605
|
||||
CBR nCRAS FD1S3AX Q CBR 1.180 -1.797
|
||||
FWEr nCRAS FD1S3AX Q FWEr 1.180 -1.797
|
||||
==============================================================================
|
||||
|
||||
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
@@ -1044,71 +1028,9 @@ Path information for path number 3:
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------------
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
nRCAS_0io nCRAS OFS1P3BX D N_251_i 1.089 -2.605
|
||||
nRCS_0io nCRAS OFS1P3BX D N_37_i 1.089 -1.797
|
||||
----------------------------------------------------------------------------------------
|
||||
RCKEEN nCRAS FD1S3AX D RCKEEN_8 1.089 -1.693
|
||||
nRCAS_0io nCRAS OFS1P3BX D N_242_i 1.089 -1.693
|
||||
nRCS_0io nCRAS OFS1P3BX D N_28_i 1.089 -1.693
|
||||
nRowColSel nCRAS FD1S3IX D nRowColSel_0_0 1.089 -1.693
|
||||
nRWE_0io nCRAS OFS1P3BX D N_37_i 1.089 -1.661
|
||||
========================================================================================
|
||||
|
||||
|
||||
|
||||
Worst Path Information
|
||||
***********************
|
||||
|
||||
|
||||
Path information for path number 1:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.781
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.693
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: CBR / Q
|
||||
Ending point: nRCAS_0io / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------
|
||||
CBR FD1S3AX Q Out 1.148 1.148 r -
|
||||
CBR Net - - - - 4
|
||||
nRCAS_0io_RNO_0 ORCALUT4 A In 0.000 1.148 r -
|
||||
nRCAS_0io_RNO_0 ORCALUT4 Z Out 1.017 2.165 f -
|
||||
N_242_i_1 Net - - - - 1
|
||||
nRCAS_0io_RNO ORCALUT4 C In 0.000 2.165 f -
|
||||
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.781 r -
|
||||
N_242_i Net - - - - 1
|
||||
nRCAS_0io OFS1P3BX D In 0.000 2.781 r -
|
||||
==================================================================================
|
||||
|
||||
|
||||
Path information for path number 2:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.781
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.693
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: FWEr / Q
|
||||
Ending point: RCKEEN / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
nRWE_0io nCRAS OFS1P3BX D N_252_i 1.089 -1.797
|
||||
nRowColSel nCRAS FD1S3IX D nRowColSel_0_0 1.089 -1.797
|
||||
RCKEEN nCRAS FD1S3AX D RCKEEN_8 1.089 -1.725
|
||||
========================================================================================
|
||||
@@ -1119,18 +1041,80 @@ Path information for path number 5:
|
||||
***********************
|
||||
|
||||
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 3:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
Path information for path number 1:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 3.694
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (critical) : -2.605
|
||||
|
||||
Number of logic level(s): 3
|
||||
Starting point: CBR_fast / Q
|
||||
Ending point: nRCAS_0io / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------
|
||||
CBR_fast FD1S3AX Q Out 0.972 0.972 r -
|
||||
CBR_fast Net - - - - 1
|
||||
CBR_fast_RNIQ31K1 ORCALUT4 A In 0.000 0.972 r -
|
||||
CBR_fast_RNIQ31K1 ORCALUT4 Z Out 1.089 2.061 r -
|
||||
nRCAS_0_sqmuxa_1 Net - - - - 2
|
||||
nRCAS_0io_RNO_0 ORCALUT4 B In 0.000 2.061 r -
|
||||
nRCAS_0io_RNO_0 ORCALUT4 Z Out 1.017 3.077 r -
|
||||
N_251_i_sx Net - - - - 1
|
||||
nRCAS_0io_RNO ORCALUT4 C In 0.000 3.077 r -
|
||||
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 3.694 f -
|
||||
N_251_i Net - - - - 1
|
||||
nRCAS_0io OFS1P3BX D In 0.000 3.694 f -
|
||||
====================================================================================
|
||||
|
||||
|
||||
Path information for path number 2:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.885
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.797
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: CBR / Q
|
||||
Ending point: nRCS_0io / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------
|
||||
CBR FD1S3AX Q Out 1.180 1.180 r -
|
||||
CBR Net - - - - 5
|
||||
RCKEEN_8_u_0_a2_2 ORCALUT4 A In 0.000 1.180 r -
|
||||
RCKEEN_8_u_0_a2_2 ORCALUT4 Z Out 1.089 2.269 f -
|
||||
N_141 Net - - - - 2
|
||||
nRCS_0io_RNO ORCALUT4 A In 0.000 2.269 f -
|
||||
nRCS_0io_RNO ORCALUT4 Z Out 0.617 2.885 r -
|
||||
N_37_i Net - - - - 1
|
||||
nRCS_0io OFS1P3BX D In 0.000 2.885 r -
|
||||
====================================================================================
|
||||
|
||||
|
||||
Path information for path number 3:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.885
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.797
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: FWEr / Q
|
||||
@@ -1155,14 +1139,14 @@ ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000
|
||||
|
||||
Path information for path number 4:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.781
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.693
|
||||
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.885
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.797
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: CBR / Q
|
||||
Ending point: nRWE_0io / D
|
||||
@@ -1187,25 +1171,25 @@ Path information for path number 1:
|
||||
Path information for path number 5:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.781
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.693
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: FWEr / Q
|
||||
Ending point: nRCAS_0io / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------
|
||||
FWEr FD1S3AX Q Out 1.148 1.148 r -
|
||||
FWEr Net - - - - 4
|
||||
nRCAS_0io_RNO_0 ORCALUT4 C In 0.000 1.148 r -
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.885
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.797
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: FWEr / Q
|
||||
Ending point: nRCAS_0io / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
--------------------------------------------------------------------------------------
|
||||
FWEr FD1S3AX Q Out 1.180 1.180 r -
|
||||
FWEr Net - - - - 5
|
||||
nRowColSel_0_0_a2_1 ORCALUT4 B In 0.000 1.180 r -
|
||||
nRowColSel_0_0_a2_1 ORCALUT4 Z Out 1.089 2.269 r -
|
||||
N_251_i_1_0 Net - - - - 2
|
||||
nRCAS_0io_RNO ORCALUT4 B In 0.000 2.269 r -
|
||||
@@ -1213,16 +1197,16 @@ LEDEN FD1S3AX D In 0.000 3
|
||||
N_251_i Net - - - - 1
|
||||
nRCAS_0io OFS1P3BX D In 0.000 2.885 f -
|
||||
======================================================================================
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
====================================
|
||||
Detailed Report for Clock: System
|
||||
====================================
|
||||
|
||||
|
||||
|
||||
|
||||
Starting Points with Worst Slack
|
||||
********************************
|
||||
|
||||
@@ -1233,7 +1217,7 @@ CCU2D: 10
|
||||
ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 12.918
|
||||
ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 14.455
|
||||
ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 14.455
|
||||
=========================================================================================
|
||||
=========================================================================================
|
||||
|
||||
|
||||
Ending Points with Worst Slack
|
||||
@@ -1244,16 +1228,17 @@ ODDRXE: 1
|
||||
Clock
|
||||
--------------------------------------------------------------------------------------------------
|
||||
LEDEN System FD1S3AX D LEDENe_0 16.089 12.918
|
||||
n8MEGEN System FD1S3AX D n8MEGENe_0 16.089 12.918
|
||||
n8MEGEN System FD1S3AX D n8MEGENe_0 16.089 12.918
|
||||
wb_cyc_stb System FD1P3IX SP wb_cyc_stb_2_sqmuxa_i_0_0 15.528 14.912
|
||||
==================================================================================================
|
||||
|
||||
|
||||
|
||||
Worst Path Information
|
||||
Worst Path Information
|
||||
***********************
|
||||
|
||||
|
||||
|
||||
|
||||
Path information for path number 1:
|
||||
Requested Period: 16.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
|
||||
@@ -22,7 +22,7 @@ Setup and Hold Report
|
||||
}
|
||||
h3 {
|
||||
font-weight: bold;
|
||||
margin-top: 12px;
|
||||
margin-top: 12px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
@@ -42,7 +42,7 @@ Report level: verbose report, limited to 1 item per preference
|
||||
border-color: black black black black;
|
||||
border-collapse: collapse;
|
||||
}
|
||||
th {
|
||||
th {
|
||||
font-weight:bold;
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
@@ -50,8 +50,8 @@ Report: 150.150MHz is the maximum frequency for this preference.
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
text-align:left;
|
||||
font-size:78%;
|
||||
}
|
||||
font-size:78%;
|
||||
}
|
||||
td {
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
@@ -65,42 +65,42 @@ BLOCK RESETPATHS
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
}
|
||||
.pass
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
}
|
||||
.fail
|
||||
{
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
{
|
||||
{
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
}
|
||||
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Map_Twr"></A><B><U><big>Map TRACE Report</big></U></B>
|
||||
|
||||
Loading design for application trce from file ram2gs_lcmxo2_1200hc_impl1_map.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-1200HC
|
||||
}
|
||||
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Map_Twr"></A><B><U><big>Map TRACE Report</big></U></B>
|
||||
|
||||
Loading design for application trce from file ram2gs_lcmxo2_1200hc_impl1_map.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-1200HC
|
||||
Package: TQFP100
|
||||
Performance: 4
|
||||
Performance: 4
|
||||
Loading device for application trce from file 'xo2c1200.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
|
||||
Package Status: Final Version 1.44.
|
||||
Package Status: Final Version 1.44.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
Setup and Hold Report
|
||||
|
||||
@@ -141,48 +141,46 @@ Report: 150.150MHz is the maximum frequency for this preference.
|
||||
BLOCK RESETPATHS
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
|
||||
|
||||
================================================================================
|
||||
<A name="map_twr_pref_0_0"></A>Preference: FREQUENCY PORT "PHI2" 2.900000 MHz ;
|
||||
147 items scored, 0 timing errors detected.
|
||||
147 items scored, 0 timing errors detected.
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
Passed: The following path meets requirements by 163.779ns (weighted slack = 327.558ns)
|
||||
|
||||
Passed: The following path meets requirements by 163.025ns (weighted slack = 326.050ns)
|
||||
|
||||
Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-)
|
||||
|
||||
|
||||
Source: FF Q Bank_0io[1] (from PHI2_c +)
|
||||
Destination: FF Data in CmdEnable (to PHI2_c -)
|
||||
|
||||
Delay: 8.469ns (36.0% logic, 64.0% route), 6 logic levels.
|
||||
Delay: 9.223ns (33.1% logic, 66.9% route), 6 logic levels.
|
||||
|
||||
Constraint Details:
|
||||
Constraint Details:
|
||||
|
||||
9.223ns physical path delay Din[1]_MGIOL to SLICE_17 meets
|
||||
172.414ns delay constraint less
|
||||
0.166ns DIN_SET requirement (totaling 172.248ns) by 163.779ns
|
||||
0.166ns DIN_SET requirement (totaling 172.248ns) by 163.025ns
|
||||
|
||||
Physical Path Details:
|
||||
|
||||
Data path Din[0]_MGIOL to SLICE_10:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
C2INP_DEL --- 0.577 *[0]_MGIOL.CLK to *n[0]_MGIOL.IN Din[0]_MGIOL (from PHI2_c)
|
||||
ROUTE 1 e 1.234 *n[0]_MGIOL.IN to SLICE_89.A0 Bank[0]
|
||||
CTOF_DEL --- 0.495 SLICE_89.A0 to SLICE_89.F0 SLICE_89
|
||||
ROUTE 1 e 1.234 SLICE_89.F0 to SLICE_75.C1 un1_ADWR_i_o2_10
|
||||
CTOF_DEL --- 0.495 SLICE_75.C1 to SLICE_75.F1 SLICE_75
|
||||
ROUTE 8 e 0.480 SLICE_75.F1 to SLICE_75.B0 N_294
|
||||
CTOF_DEL --- 0.495 SLICE_75.B0 to SLICE_75.F0 SLICE_75
|
||||
ROUTE 2 e 1.234 SLICE_75.F0 to SLICE_73.C0 N_382
|
||||
CTOF_DEL --- 0.495 SLICE_73.C0 to SLICE_73.F0 SLICE_73
|
||||
ROUTE 2 e 1.234 SLICE_73.F0 to SLICE_10.C0 CmdEnable17
|
||||
|
||||
Data path Din[1]_MGIOL to SLICE_17:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
C2INP_DEL --- 0.577 *[1]_MGIOL.CLK to *n[1]_MGIOL.IN Din[1]_MGIOL (from PHI2_c)
|
||||
ROUTE 1 e 1.234 *n[1]_MGIOL.IN to SLICE_90.A0 Bank[1]
|
||||
CTOF_DEL --- 0.495 SLICE_90.A0 to SLICE_90.F0 SLICE_90
|
||||
ROUTE 1 e 1.234 SLICE_90.F0 to SLICE_80.C0 un1_CmdEnable20_0_0_o2_10
|
||||
CTOF_DEL --- 0.495 SLICE_80.C0 to SLICE_80.F0 SLICE_80
|
||||
ROUTE 6 e 1.234 SLICE_80.F0 to SLICE_11.C1 N_367
|
||||
CTOF_DEL --- 0.495 SLICE_11.C1 to SLICE_11.F1 SLICE_11
|
||||
ROUTE 3 e 1.234 SLICE_11.F1 to SLICE_33.B0 CmdEnable16
|
||||
CTOF_DEL --- 0.495 SLICE_33.B0 to SLICE_33.F0 SLICE_33
|
||||
ROUTE 1 e 0.001 SLICE_10.F0 to SLICE_10.DI0 ADSubmitted_r_0 (to PHI2_c)
|
||||
ROUTE 1 e 1.234 SLICE_33.F0 to SLICE_17.D0 CmdEnable_0_sqmuxa
|
||||
CTOF_DEL --- 0.495 SLICE_17.D0 to SLICE_17.F0 SLICE_17
|
||||
8.469 (36.0% logic, 64.0% route), 6 logic levels.
|
||||
ROUTE 1 e 0.001 SLICE_17.F0 to SLICE_17.DI0 CmdEnable_s (to PHI2_c)
|
||||
--------
|
||||
9.223 (33.1% logic, 66.9% route), 6 logic levels.
|
||||
|
||||
@@ -190,13 +188,13 @@ Report: 97.666MHz is the maximum frequency for this preference.
|
||||
|
||||
|
||||
================================================================================
|
||||
--------------------------------------------------------------------------------
|
||||
<A name="map_twr_pref_0_1"></A>Preference: FREQUENCY PORT "nCCAS" 2.900000 MHz ;
|
||||
0 items scored, 0 timing errors detected.
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
Passed: The following path meets requirements by 338.168ns
|
||||
Logical Details: Cell type Pin name Component name
|
||||
The internal maximum frequency of the following component is 150.150 MHz
|
||||
|
||||
Logical Details: Cell type Pin name Component name
|
||||
|
||||
@@ -209,7 +207,7 @@ All preferences were met.
|
||||
|
||||
================================================================================
|
||||
<A name="map_twr_pref_0_2"></A>Preference: FREQUENCY PORT "nCRAS" 2.900000 MHz ;
|
||||
|
||||
0 items scored, 0 timing errors detected.
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
@@ -251,11 +249,11 @@ Clock Domain: PHI2_c Source: PHI2.PAD Loads: 21
|
||||
Data path SLICE_16 to nRWE_MGIOL:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
CTOF_DEL --- 0.495 SLICE_66.B1 to SLICE_66.F1 SLICE_66
|
||||
REG_DEL --- 0.452 SLICE_16.CLK to SLICE_16.Q0 SLICE_16 (from RCLK_c)
|
||||
ROUTE 12 e 1.234 SLICE_16.Q0 to SLICE_62.D1 CO0
|
||||
CTOF_DEL --- 0.495 SLICE_62.D1 to SLICE_62.F1 SLICE_62
|
||||
ROUTE 6 e 1.234 SLICE_62.F1 to SLICE_79.A1 IS_0_sqmuxa_0_o2
|
||||
CTOF_DEL --- 0.495 SLICE_86.D0 to SLICE_86.F0 SLICE_86
|
||||
CTOF_DEL --- 0.495 SLICE_79.A1 to SLICE_79.F1 SLICE_79
|
||||
ROUTE 2 e 1.234 SLICE_79.F1 to SLICE_28.D1 IS_0_sqmuxa_0_o3
|
||||
CTOF_DEL --- 0.495 SLICE_28.D1 to SLICE_28.F1 SLICE_28
|
||||
ROUTE 1 e 1.234 SLICE_28.F1 to SLICE_68.D1 nRWE_s_i_a2_1_0
|
||||
@@ -280,7 +278,7 @@ Report level: verbose report, limited to 1 item per preference
|
||||
| | |
|
||||
FREQUENCY PORT "nCRAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0
|
||||
| | |
|
||||
FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 97.666 MHz| 7
|
||||
FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 95.383 MHz| 6
|
||||
| | |
|
||||
----------------------------------------------------------------------------
|
||||
|
||||
@@ -317,7 +315,7 @@ Passed: The following path meets requirements by 0.447ns
|
||||
Clock Domain: PHI2_c Source: PHI2.PAD
|
||||
Not reported because source and destination domains are unrelated.
|
||||
To report these transfers please refer to preference CLKSKEWDIFF to define
|
||||
external clock skew between clock ports.
|
||||
external clock skew between clock ports.
|
||||
|
||||
Clock Domain: PHI2_c Source: PHI2.PAD Loads: 21
|
||||
Covered under: FREQUENCY PORT "PHI2" 2.900000 MHz ;
|
||||
@@ -336,7 +334,7 @@ ROUTE 1 e 0.001 SLICE_10.F0 to SLICE_10.DI0 ADSubmitted_r_0 (to P
|
||||
Cumulative negative slack: 0
|
||||
|
||||
Constraints cover 1038 paths, 4 nets, and 732 connections (72.40% coverage)
|
||||
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
<A name="Map_Twr_hold"></A><B><U><big>Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454</big></U></B>
|
||||
Sat Nov 18 02:05:54 2023
|
||||
@@ -390,7 +388,7 @@ All preferences were met.
|
||||
Constraint Details:
|
||||
|
||||
0.434ns physical path delay SLICE_10 to SLICE_10 meets
|
||||
-0.013ns DIN_HLD and
|
||||
-0.013ns DIN_HLD and
|
||||
0.000ns delay constraint requirement (totaling -0.013ns) by 0.447ns
|
||||
|
||||
Physical Path Details:
|
||||
@@ -432,7 +430,7 @@ Clock Domain: PHI2_c Source: PHI2.PAD Loads: 21
|
||||
Destination: FF Data in CASr2 (to RCLK_c +)
|
||||
|
||||
Delay: 0.332ns (40.1% logic, 59.9% route), 1 logic levels.
|
||||
|
||||
|
||||
Constraint Details:
|
||||
|
||||
0.332ns physical path delay SLICE_12 to SLICE_12 meets
|
||||
|
||||
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
@@ -2,17 +2,15 @@
|
||||
Starting: parse design source files
|
||||
(VERI-1482) Analyzing Verilog file 'C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v'
|
||||
(VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v'
|
||||
WARNING - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(23,47-23,52) (VERI-1875) identifier 'Ready' is used before its declaration
|
||||
(VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/REFB.v'
|
||||
INFO - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(1,8-1,14) (VERI-1018) compiling module 'RAM2GS'
|
||||
INFO - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(1,1-621,10) (VERI-9000) elaborating module 'RAM2GS'
|
||||
INFO - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(1,1-618,10) (VERI-9000) elaborating module 'RAM2GS'
|
||||
INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1601,1-1606,10) (VERI-9000) elaborating module 'ODDRXE_uniq_1'
|
||||
INFO - //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/REFB.v(8,1-113,10) (VERI-9000) elaborating module 'REFB_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800,1-1872,10) (VERI-9000) elaborating module 'EFB_uniq_1'
|
||||
WARNING - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(46,7-46,8) (VERI-1330) actual bit length 32 differs from formal bit length 1 for port 'D0'
|
||||
WARNING - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(46,15-46,16) (VERI-1330) actual bit length 32 differs from formal bit length 1 for port 'D1'
|
||||
WARNING - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(47,8-47,9) (VERI-1330) actual bit length 32 differs from formal bit length 1 for port 'RST'
|
||||
Done: design load finished with (0) errors, and (3) warnings
|
||||
Done: design load finished with (0) errors, and (1) warnings
|
||||
|
||||
</PRE></BODY></HTML>
|
||||
@@ -29,7 +29,7 @@ Performance Hardware Data Status: Final Version 34.4.
|
||||
// Package: TQFP100
|
||||
// ncd File: ram2gs_lcmxo2_1200hc_impl1.ncd
|
||||
// Version: Diamond (64-bit) 3.12.1.454
|
||||
// Written on Thu Oct 19 23:51:14 2023
|
||||
// Written on Sat Nov 18 02:06:17 2023
|
||||
// M: Minimum Performance Grade
|
||||
// iotiming RAM2GS_LCMXO2_1200HC_impl1.ncd RAM2GS_LCMXO2_1200HC_impl1.prf -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml
|
||||
|
||||
@@ -41,97 +41,95 @@ Worst Case Results across Performance Grades (M, 6, 5, 4):
|
||||
|
||||
Port Clock Edge Setup Performance_Grade Hold Performance_Grade
|
||||
----------------------------------------------------------------------
|
||||
CROW[0] nCRAS F 1.569 4 0.268 6
|
||||
CROW[1] nCRAS F 1.013 4 0.820 4
|
||||
Din[0] PHI2 F 5.478 4 4.293 4
|
||||
Din[0] nCCAS F 2.010 4 -0.119 M
|
||||
Din[1] PHI2 F 4.088 4 4.173 4
|
||||
Din[1] nCCAS F 0.601 4 0.796 4
|
||||
Din[2] PHI2 F 4.967 4 4.173 4
|
||||
Din[2] nCCAS F 0.811 4 0.583 4
|
||||
Din[3] PHI2 F 3.810 4 4.173 4
|
||||
Din[3] nCCAS F 1.136 4 0.322 4
|
||||
Din[4] PHI2 F 4.400 4 4.173 4
|
||||
Din[4] nCCAS F 0.762 4 0.590 4
|
||||
Din[5] PHI2 F 5.595 4 4.173 4
|
||||
Din[5] nCCAS F 0.779 4 0.576 4
|
||||
Din[6] PHI2 F 5.120 4 4.293 4
|
||||
Din[6] nCCAS F 2.036 4 -0.117 M
|
||||
Din[7] PHI2 F 5.630 4 4.293 4
|
||||
Din[7] nCCAS F 2.301 4 -0.192 M
|
||||
MAin[0] PHI2 F 4.196 4 1.086 4
|
||||
MAin[0] nCRAS F 0.152 6 1.567 4
|
||||
MAin[1] PHI2 F 3.875 4 1.164 4
|
||||
MAin[1] nCRAS F -0.177 M 2.102 4
|
||||
MAin[2] PHI2 F 8.381 4 -0.693 M
|
||||
MAin[2] nCRAS F -0.315 M 2.358 4
|
||||
MAin[3] PHI2 F 7.199 4 -0.405 M
|
||||
MAin[3] nCRAS F -0.173 M 1.962 4
|
||||
MAin[4] PHI2 F 8.710 4 -0.769 M
|
||||
MAin[4] nCRAS F 0.292 4 1.419 4
|
||||
MAin[5] PHI2 F 8.562 4 -0.730 M
|
||||
MAin[5] nCRAS F -0.055 M 1.752 4
|
||||
MAin[6] PHI2 F 7.862 4 -0.604 M
|
||||
MAin[6] nCRAS F -0.126 M 1.965 4
|
||||
MAin[7] PHI2 F 8.829 4 -0.836 M
|
||||
MAin[7] nCRAS F -0.122 M 1.960 4
|
||||
MAin[8] nCRAS F -0.288 M 2.424 4
|
||||
MAin[9] nCRAS F -0.212 M 2.196 4
|
||||
CROW[0] nCRAS F 3.288 4 -0.390 M
|
||||
CROW[1] nCRAS F 2.823 4 -0.285 M
|
||||
Din[0] PHI2 F 6.398 4 4.293 4
|
||||
Din[0] nCCAS F 1.411 4 -0.004 M
|
||||
Din[1] PHI2 F 3.916 4 4.173 4
|
||||
Din[1] nCCAS F 1.877 4 -0.123 M
|
||||
Din[2] PHI2 F 6.180 4 4.173 4
|
||||
Din[2] nCCAS F 1.548 4 -0.062 M
|
||||
Din[3] PHI2 F 5.536 4 4.173 4
|
||||
Din[3] nCCAS F 0.467 4 0.734 4
|
||||
Din[4] PHI2 F 3.611 4 4.173 4
|
||||
Din[4] nCCAS F 1.533 4 -0.043 M
|
||||
Din[5] PHI2 F 5.673 4 4.173 4
|
||||
Din[5] nCCAS F 1.663 4 -0.072 M
|
||||
Din[6] PHI2 F 5.355 4 4.293 4
|
||||
Din[6] nCCAS F 2.807 4 -0.352 M
|
||||
Din[7] PHI2 F 5.296 4 4.293 4
|
||||
Din[7] nCCAS F 1.914 4 -0.136 M
|
||||
MAin[0] PHI2 F 4.091 4 1.414 4
|
||||
MAin[0] nCRAS F 1.207 4 0.347 4
|
||||
MAin[1] PHI2 F 3.273 4 1.759 4
|
||||
MAin[1] nCRAS F 1.077 4 0.460 4
|
||||
MAin[2] PHI2 F 8.126 4 -0.351 M
|
||||
MAin[2] nCRAS F 0.671 4 0.850 4
|
||||
MAin[3] PHI2 F 8.831 4 -0.579 M
|
||||
MAin[3] nCRAS F 1.100 4 0.463 4
|
||||
MAin[4] PHI2 F 8.415 4 -0.447 M
|
||||
MAin[4] nCRAS F 1.390 4 0.207 4
|
||||
MAin[5] PHI2 F 9.742 4 -0.803 M
|
||||
MAin[5] nCRAS F 1.269 4 0.218 4
|
||||
MAin[6] PHI2 F 7.970 4 -0.325 M
|
||||
MAin[6] nCRAS F 1.165 4 0.337 4
|
||||
MAin[7] PHI2 F 8.481 4 -0.438 M
|
||||
MAin[7] nCRAS F 0.761 4 0.673 4
|
||||
MAin[8] nCRAS F 1.261 4 0.223 4
|
||||
MAin[9] nCRAS F 0.756 4 0.667 4
|
||||
PHI2 RCLK R -0.133 M 2.360 4
|
||||
nCCAS RCLK R 3.627 4 -0.577 M
|
||||
nCCAS nCRAS F 3.154 4 -0.145 M
|
||||
nCRAS RCLK R 1.461 4 -0.017 M
|
||||
nFWE PHI2 F 6.933 4 -0.318 M
|
||||
nFWE nCRAS F 0.403 4 1.860 4
|
||||
nCCAS RCLK R 4.128 4 -0.675 M
|
||||
nCCAS nCRAS F 4.568 4 -0.666 M
|
||||
nCRAS RCLK R 3.070 4 -0.412 M
|
||||
nFWE PHI2 F 8.979 4 -0.603 M
|
||||
nFWE nCRAS F 1.467 4 0.144 4
|
||||
|
||||
|
||||
// Clock to Output Delay
|
||||
|
||||
Port Clock Edge Max_Delay Performance_Grade Min_Delay Performance_Grade
|
||||
------------------------------------------------------------------------
|
||||
LED RCLK R 10.948 4 3.270 M
|
||||
LED nCRAS F 12.507 4 3.690 M
|
||||
RA[0] RCLK R 13.208 4 4.000 M
|
||||
RA[0] nCRAS F 13.040 4 3.935 M
|
||||
LED RCLK R 11.034 4 3.119 M
|
||||
LED nCRAS F 11.531 4 3.339 M
|
||||
RA[0] RCLK R 11.682 4 3.586 M
|
||||
RA[0] nCRAS F 11.704 4 3.483 M
|
||||
RA[10] RCLK R 7.888 4 2.711 M
|
||||
RA[11] PHI2 R 9.755 4 3.200 M
|
||||
RA[1] RCLK R 13.332 4 4.024 M
|
||||
RA[1] nCRAS F 12.944 4 3.885 M
|
||||
RA[2] RCLK R 13.624 4 4.099 M
|
||||
RA[2] nCRAS F 13.220 4 3.993 M
|
||||
RA[3] RCLK R 13.506 4 4.055 M
|
||||
RA[3] nCRAS F 13.322 4 4.022 M
|
||||
RA[4] RCLK R 12.512 4 3.834 M
|
||||
RA[4] nCRAS F 14.534 4 4.331 M
|
||||
RA[5] RCLK R 13.530 4 4.069 M
|
||||
RA[5] nCRAS F 13.126 4 3.963 M
|
||||
RA[6] RCLK R 14.238 4 4.245 M
|
||||
RA[6] nCRAS F 13.589 4 4.077 M
|
||||
RA[7] RCLK R 13.759 4 4.129 M
|
||||
RA[7] nCRAS F 13.371 4 3.990 M
|
||||
RA[8] RCLK R 11.858 4 3.632 M
|
||||
RA[8] nCRAS F 13.338 4 4.026 M
|
||||
RA[9] RCLK R 11.007 4 3.423 M
|
||||
RA[9] nCRAS F 12.651 4 3.856 M
|
||||
RBA[0] nCRAS F 10.201 4 3.325 M
|
||||
RBA[1] nCRAS F 10.201 4 3.325 M
|
||||
RCKE RCLK R 9.754 4 3.167 M
|
||||
RCLKout RCLK R 7.971 4 2.504 M
|
||||
RDQMH RCLK R 11.153 4 3.458 M
|
||||
RDQML RCLK R 11.133 4 3.466 M
|
||||
RD[0] nCCAS F 9.354 4 3.132 M
|
||||
RD[1] nCCAS F 9.354 4 3.132 M
|
||||
RD[2] nCCAS F 9.354 4 3.132 M
|
||||
RD[3] nCCAS F 9.354 4 3.132 M
|
||||
RD[4] nCCAS F 9.354 4 3.132 M
|
||||
RD[5] nCCAS F 9.354 4 3.132 M
|
||||
RD[6] nCCAS F 9.354 4 3.132 M
|
||||
RD[7] nCCAS F 9.354 4 3.132 M
|
||||
RA[1] RCLK R 11.454 4 3.535 M
|
||||
RA[1] nCRAS F 11.216 4 3.347 M
|
||||
RA[2] RCLK R 12.084 4 3.693 M
|
||||
RA[2] nCRAS F 11.742 4 3.501 M
|
||||
RA[3] RCLK R 12.131 4 3.715 M
|
||||
RA[3] nCRAS F 11.857 4 3.533 M
|
||||
RA[4] RCLK R 11.966 4 3.684 M
|
||||
RA[4] nCRAS F 12.319 4 3.650 M
|
||||
RA[5] RCLK R 11.928 4 3.670 M
|
||||
RA[5] nCRAS F 11.637 4 3.446 M
|
||||
RA[6] RCLK R 11.419 4 3.523 M
|
||||
RA[6] nCRAS F 11.718 4 3.486 M
|
||||
RA[7] RCLK R 11.988 4 3.651 M
|
||||
RA[7] nCRAS F 12.274 4 3.636 M
|
||||
RA[8] RCLK R 11.660 4 3.582 M
|
||||
RA[8] nCRAS F 11.098 4 3.343 M
|
||||
RA[9] RCLK R 11.454 4 3.547 M
|
||||
RA[9] nCRAS F 11.134 4 3.314 M
|
||||
RBA[0] nCRAS F 8.903 4 2.891 M
|
||||
RBA[1] nCRAS F 8.883 4 2.898 M
|
||||
RCKE RCLK R 9.774 4 3.159 M
|
||||
RCLKout RCLK R 7.101 4 2.108 M
|
||||
RDQMH RCLK R 10.733 4 3.351 M
|
||||
RDQML RCLK R 10.683 4 3.364 M
|
||||
RD[0] nCCAS F 8.977 4 3.012 M
|
||||
RD[1] nCCAS F 8.977 4 3.012 M
|
||||
RD[2] nCCAS F 8.977 4 3.012 M
|
||||
RD[3] nCCAS F 8.977 4 3.012 M
|
||||
RD[4] nCCAS F 8.977 4 3.012 M
|
||||
RD[5] nCCAS F 8.977 4 3.012 M
|
||||
RD[6] nCCAS F 8.977 4 3.012 M
|
||||
RD[7] nCCAS F 8.977 4 3.012 M
|
||||
nRCAS RCLK R 7.822 4 2.706 M
|
||||
nRCS RCLK R 7.822 4 2.706 M
|
||||
nRRAS RCLK R 7.822 4 2.706 M
|
||||
nRWE RCLK R 7.803 4 2.713 M
|
||||
WARNING: you must also run trce with hold speed: 4
|
||||
WARNING: you must also run trce with setup speed: 6
|
||||
WARNING: you must also run trce with hold speed: 6
|
||||
WARNING: you must also run trce with setup speed: M
|
||||
|
||||
@@ -1,3 +1,3 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<userSetting name="//Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml" version="Diamond (64-bit) 3.12.1.454" date="Thu Sep 21 05:40:51 2023" vendor="Lattice Semiconductor Corporation" >
|
||||
<userSetting name="//Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/promote.xml" version="Diamond (64-bit) 3.12.1.454" date="Sun Nov 19 19:55:57 2023" vendor="Lattice Semiconductor Corporation" >
|
||||
</userSetting>
|
||||
|
||||
@@ -3,7 +3,7 @@
|
||||
<ReportView version="2.0">
|
||||
<Implement name="impl1">
|
||||
<ToolReport id="tooldec" path="" status="0"/>
|
||||
<ToolReport id="toolhle_genhierarchy" path="Y:/Repos/RAM2GS/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html" status="1"/>
|
||||
<ToolReport id="toolhle_genhierarchy" path="//Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-1200HC/impl1/hdla_gen_hierarchy.html" status="1"/>
|
||||
<ToolReport id="toolpio" path="" status="0"/>
|
||||
<ToolReport id="toolsso" path="" status="0"/>
|
||||
</Implement>
|
||||
|
||||
1
CPLD/LCMXO2-640HC/RAM2GS-LCMXO2.ccl
Normal file
1
CPLD/LCMXO2-640HC/RAM2GS-LCMXO2.ccl
Normal file
@@ -0,0 +1 @@
|
||||
VERSION=20110520
|
||||
90
CPLD/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcl.html
Normal file
90
CPLD/LCMXO2-640HC/RAM2GS_LCMXO2_640HC_tcl.html
Normal file
@@ -0,0 +1,90 @@
|
||||
<HTML>
|
||||
<HEAD><TITLE>Lattice TCL Log</TITLE>
|
||||
<STYLE TYPE="text/css">
|
||||
<!--
|
||||
body,pre{
|
||||
font-family:'Courier New', monospace;
|
||||
color: #000000;
|
||||
font-size:88%;
|
||||
background-color: #ffffff;
|
||||
}
|
||||
h1 {
|
||||
font-weight: bold;
|
||||
margin-top: 24px;
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
margin-top: 18px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.90em;
|
||||
}
|
||||
h3 {
|
||||
font-weight: bold;
|
||||
margin-top: 12px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
p {
|
||||
font-size:78%;
|
||||
}
|
||||
P.Table {
|
||||
margin-top: 4px;
|
||||
margin-bottom: 4px;
|
||||
margin-right: 4px;
|
||||
margin-left: 4px;
|
||||
}
|
||||
table
|
||||
{
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
border-collapse: collapse;
|
||||
}
|
||||
th {
|
||||
font-weight:bold;
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
text-align:left;
|
||||
font-size:78%;
|
||||
}
|
||||
td {
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
.fail
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
{
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
}
|
||||
|
||||
-->
|
||||
@@ -1,6 +1,6 @@
|
||||
NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation *
|
||||
NOTE All Rights Reserved *
|
||||
NOTE DATE CREATED: Thu Sep 21 05:40:18 2023 *
|
||||
NOTE DATE CREATED: Sat Nov 18 02:06:30 2023 *
|
||||
NOTE DESIGN NAME: RAM2GS *
|
||||
NOTE DEVICE NAME: LCMXO2-640HC-4TQFP100 *
|
||||
NOTE PIN ASSIGNMENTS *
|
||||
@@ -13,6 +13,7 @@ NOTE PINS nRCAS : 52 : out *
|
||||
NOTE PINS nRRAS : 54 : out *
|
||||
NOTE PINS nRWE : 49 : out *
|
||||
NOTE PINS RCKE : 53 : out *
|
||||
NOTE PINS RCLKout : 60 : out *
|
||||
NOTE PINS RCLK : 63 : in *
|
||||
NOTE PINS nRCS : 57 : out *
|
||||
NOTE PINS RD[7] : 43 : inout *
|
||||
@@ -34,7 +35,7 @@ NOTE PINS RA[3] : 71 : out *
|
||||
NOTE PINS RA[2] : 69 : out *
|
||||
NOTE PINS RA[1] : 67 : out *
|
||||
NOTE PINS RA[0] : 66 : out *
|
||||
NOTE PINS RBA[1] : 60 : out *
|
||||
NOTE PINS RBA[1] : 47 : out *
|
||||
NOTE PINS RBA[0] : 58 : out *
|
||||
NOTE PINS LED : 34 : out *
|
||||
NOTE PINS nFWE : 15 : in *
|
||||
|
||||
@@ -3,7 +3,7 @@ Report for cell RAM2GS.verilog
|
||||
|
||||
Register bits: 109 of 640 (17%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 63
|
||||
I/O cells: 64
|
||||
Cell usage:
|
||||
cell count Res Usage(%)
|
||||
BB 8 100.0
|
||||
@@ -17,19 +17,20 @@ I/O cells: 63
|
||||
IB 25 100.0
|
||||
IFS1P3DX 9 100.0
|
||||
INV 7 100.0
|
||||
OB 30 100.0
|
||||
OB 31 100.0
|
||||
ODDRXE 1 100.0
|
||||
OFS1P3BX 4 100.0
|
||||
OFS1P3DX 11 100.0
|
||||
OFS1P3JX 1 100.0
|
||||
ORCALUT4 213 100.0
|
||||
PFUMX 1 100.0
|
||||
ORCALUT4 212 100.0
|
||||
PFUMX 2 100.0
|
||||
PUR 1 100.0
|
||||
VHI 2 100.0
|
||||
VLO 2 100.0
|
||||
SUB MODULES
|
||||
REFB 1 100.0
|
||||
|
||||
TOTAL 411
|
||||
TOTAL 413
|
||||
----------------------------------------------------------------------
|
||||
Report for cell REFB.netlist
|
||||
Instance path: ufmefb
|
||||
|
||||
@@ -4,7 +4,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Thu Sep 21 05:40:14 2023
|
||||
Sat Nov 18 02:06:26 2023
|
||||
|
||||
|
||||
Command: bitgen -g RamCfg:Reset -path //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-640HC -w -jedec -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-640HC/promote.xml RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf
|
||||
@@ -81,6 +81,6 @@ UFM Utilization: General Purpose Flash Memory.
|
||||
Available General Purpose Flash Memory: 191 Pages (Page 0 to Page 190).
|
||||
Initialized UFM Pages: 1 Page (Page 190).
|
||||
|
||||
Total CPU Time: 3 secs
|
||||
Total CPU Time: 4 secs
|
||||
Total REAL Time: 4 secs
|
||||
Peak Memory Usage: 266 MB
|
||||
Peak Memory Usage: 267 MB
|
||||
|
||||
Binary file not shown.
File diff suppressed because it is too large
Load Diff
@@ -2,7 +2,7 @@
|
||||
NOTE Diamond (64-bit) 3.12.1.454 JEDEC Compatible Fuse File.*
|
||||
NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation.*
|
||||
NOTE All Rights Reserved.*
|
||||
NOTE DATE CREATED: Thu Sep 21 05:40:14 2023*
|
||||
NOTE DATE CREATED: Sat Nov 18 02:06:26 2023*
|
||||
NOTE DESIGN NAME: RAM2GS_LCMXO2_640HC_impl1.ncd*
|
||||
NOTE DEVICE NAME: LCMXO2-640HC-4TQFP100*
|
||||
NOTE JEDEC FILE STATUS: Final Version 1.95*
|
||||
@@ -16,6 +16,7 @@ NOTE PINS nRCAS : 52 : out*
|
||||
NOTE PINS nRRAS : 54 : out*
|
||||
NOTE PINS nRWE : 49 : out*
|
||||
NOTE PINS RCKE : 53 : out*
|
||||
NOTE PINS RCLKout : 60 : out*
|
||||
NOTE PINS RCLK : 63 : in*
|
||||
NOTE PINS nRCS : 57 : out*
|
||||
NOTE PINS RD[7] : 43 : inout*
|
||||
@@ -37,7 +38,7 @@ NOTE PINS RA[3] : 71 : out*
|
||||
NOTE PINS RA[2] : 69 : out*
|
||||
NOTE PINS RA[1] : 67 : out*
|
||||
NOTE PINS RA[0] : 66 : out*
|
||||
NOTE PINS RBA[1] : 60 : out*
|
||||
NOTE PINS RBA[1] : 47 : out*
|
||||
NOTE PINS RBA[0] : 58 : out*
|
||||
NOTE PINS LED : 34 : out*
|
||||
NOTE PINS nFWE : 15 : in*
|
||||
@@ -75,382 +76,382 @@ QF171904*
|
||||
G0*
|
||||
F0*
|
||||
L000000
|
||||
11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000000000101000001001
|
||||
10010000000001010011000000101000010010001111111101000110000000000000000000000000101110001110000000000000110101110000000000000000
|
||||
11111111111111111011110110110011111111111111111100111011000000000000000000000000000000100000000000000000000000001100000000110000
|
||||
00000101000010010101000000101000010010001111111101000110000000000000000000000000101110001110000000000000110101110000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000
|
||||
00000000100010000000000000000001000100000010001000000000000000000000000000000000000000000000000000000000000000000001001000000000
|
||||
00000000000000001001000000000000000000010010000000100100000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000001000110000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000001100100100010011100100100100010000000000000000000000000000000000001100000110010011000100100100010000000000000000000
|
||||
00000000000000000000000000000000000000000100110000001010111000011001000000000000000000000000001001010010000100000000000100011100
|
||||
10001001100000000000000000000000000000000000000000000000000000000000000100101010010100000000011011000000100100000000000000100001
|
||||
00000001001000010000010101100000001100000011010011100001001100000000000000000000000000000000000000000000000000000000000100011000
|
||||
00001001100010010110001001001100000000000000100101000000000000000000010010100100110000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000100011000000000100111000000001000001011100000001001100000000000000000000000000000000
|
||||
00000000000000000000000000000000000000010000100000000000000000000000000000000000000001001011001110001000011000011000110000000000
|
||||
00000000000000000000000000000000000000000000001011000000000000000000000000000000010011000000000100010001000000001010110000100101
|
||||
00001001110000000000000000000000000000000000000000000000000000000000000000000000100111000000000000000000000000000000010001000000
|
||||
00000000100011000000000000000000000000000000000000000000000000000000000000011010100000000000000000000001001100000000000000000000
|
||||
00010001010001100000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001001001010
|
||||
01000010010010100010010000000011001000100010110001001000010000110000110001100000000000000000000000000000000000000000000000000000
|
||||
10110000011010100000000000101100000000000000000001000110001001110000000100100000000000011000100101101000001000000010011100000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000100000000000100110000000100001100001
|
||||
00010011000010001100000000000000000000000000000000000000000000000000000000000000000000001001000000100010000000001001100000000000
|
||||
00000000000010001010010010001000010100101000100000000000000000000000000000000000000000000000000000000000000000001001010000000000
|
||||
00000000000010000110011100000001001010000100000100110100000100111100110000000000000000000000000000000000000000000000000000000000
|
||||
00011000000110000000000001000000000010011100000001000110000000010001011100000010000000110001001100010010000100100001000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010000100100000100101000
|
||||
00000000000000000000000000000000000000000000000000000000100111000000000000000000000000000100000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000001011100000010000000000000000000000100110000000000000000000100011000
|
||||
00000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000001000011001100000000110101000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000100011000000000000000000000000000000000000100
|
||||
11000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100001000000000000000100000001001101011
|
||||
01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011010000010001010011100000
|
||||
00000000010011001100001100100110000000000010010100100101100111001001100000000000000000000000000000000000000000000000000000000000
|
||||
00010000000000000000000000000000000000000100001100110100001110101001010011100000000001000110100001101111000100100000000000000000
|
||||
00000000000000000000000000000000000000000001000100000000000000000000000000000000000100000000000000000000100111001011001010110000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000010010100001001010000100001000000000100011010101000
|
||||
00000000000000000000001001011010100000000000000000000000000000000000000000000000000000000000000000100001100111001001000100001100
|
||||
11101001000010000110011101000110000001000110110010001010000000000000001100100010010001100000000010000110011001001001000000000000
|
||||
00100110000000000000000000000000000000000000000000000000000000001001110000010011100000100111100000110010010000000101001000100111
|
||||
00000000000100111100110000000000010011001010011011110000000000000000000000000000000000000000000000000000000000000010000000000100
|
||||
00000000100000000100111000001001010010001100000000001000100000000000010000000010011000000000000000000000000000000000000000000000
|
||||
00000000000001001000100011000000000010001011101000001010010000000001001110000100000100100000001000111010100010001010000010010000
|
||||
00000000000000000110011110000000000000000000000000000000000000000000000000000000100110000000001000011001110100100001000011001110
|
||||
10011000000000010011111100000010000010011100001001110000000000000000000001100111100000000000000000000000000000000000000000000101
|
||||
01000000101010010000100000000000010011100000100111011100001000000100110000011000010111000110000100011000001000011001110000000000
|
||||
10011000011010001110000000000000000000000000000000000000000000000000000000000000000000001000000000010000001000011000111001110010
|
||||
00111000110001100011001011010001000000100001000011000100010000000000010000000001101010000000000000000000000000000000000000000000
|
||||
00000000010011100001000110000000000010000110011100001101010000000101010010001100010011110001110010001111000100100110001101000010
|
||||
11000110011000001001110010001101111000001100110010000100001001000000000000101100010010100110011110010010000000000000000000000000
|
||||
00000000000000100010000000000100000010001100000000000000000100101000100000010000111011001101000000010010010010010011011100010000
|
||||
00010000011000011001100001100000100110001000000010011101000101000000000000000000011001111000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000001100100001101110011001101010100110100000010000010001100010000101001000001000100010010100000
|
||||
00000001100010111000000000000000000000000000000000000000000000000000001001100000010011000000000000000000000100010010011100101110
|
||||
10011100010111111100010001110001000001001100100000100111100111100000000000100010000100111000000001100111100000000000000000000000
|
||||
00000000000010011100000000001000110000110101000000000000001010100100100000101010011010101000001001111110000010100100100011100001
|
||||
01001111001001100001011100000110001001010001110100100110010011010011001001110001001011001100000000000000110000011100000000000000
|
||||
00000000000000000000000000100010000000000110101000001001010000000000010001100010011101001010000000010000110011010000110010110010
|
||||
10100001100110011001000011101101000100110100110010110101001010000100110100001010010000000000000011000000110000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000011000000111001110100010101101100100100111100110101100010010011110001000100
|
||||
00100010001100011010000100000100101110110000000000000000010000000000000000000000000000000000000000000000000000000000000000100110
|
||||
00100010000000000000010000101000111001000010010111100001001000111101001001101101001001111011101001011001000010010010000010111010
|
||||
00011001110000000000001001110000001001110000000000000000000000000000000000000000000100101000000000000000010010010000111100001000
|
||||
11100001010010011010001001110000101010010111000011001110000100001001110011000001101101000110011101010100100110001110001100100111
|
||||
10001001000011000011011010010000000010010000000100000110000011100000000000000000000000000000000000101011000000000000000000000000
|
||||
00001110100000010011001000110011000101001001100100011001001011010111000000010101001001011001101001110011010101001111000000001000
|
||||
11111010000000100110000000100010000000000000000010101000000101010000000000000000000000000000000000000000000000000010011110001101
|
||||
00110001000001000110100110000010001110000101111010101111000010010100110000110111100100001000100110100010100001111000000110000110
|
||||
01100010000100011001000100000000000000000000000000000000000000000000000000000010010000000000000000000010010001001010001001000100
|
||||
10110000100100010101111000100000100000100011110110000011010100001001101000111110001010100110101101000011010110001011111001110000
|
||||
01001111001100000011000001110000000001001010000000000000000000000000000000000000000000000000000000100111001000011110000100010011
|
||||
11001101001001111001010100010010011110011101000110111000001010010111010000100100011100000110000110011010001000010001100110100000
|
||||
11000000010011000000000000000011110000000000010001001101100000000000000000000000000000000000000000000000000000000100110010001101
|
||||
00101010011001000110010000010011000001110000010101110100101111000100001000111100100100111010010011010000101011000010010110000110
|
||||
01011100110010011001000100100010000010101000100001100111000000100110000000000100010011010100000000000000000000000000000000000000
|
||||
00000000010111000001000011001000100011010011000010001101001101001000010001101000010100010100000110100100111000011011001111001001
|
||||
10001001011010001011001001001011001001110000010001000001011001001100100010100010100110001001100000000000000000000010010000100110
|
||||
00000000000000000000000000000000000000000000000000000001001010100111010010001001010001001000100101001001101001100000100100101100
|
||||
10000010110101001100010010100010000010001100100100000000000000000000000000000000000000000000000000000000000000000000000000010010
|
||||
01101111111111111111011010010011110111111111111110111100100101101111111111111111011010010011110111111111111110111100100101101111
|
||||
11111111111101010011111110111000101000010001011110011001000011000001111111110011110011111010000010100000111111110010000110100000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000010010011011111001100111110110000110111101111001100111
|
||||
10111101100001101111100110011111011000011011110111100110011110111101100001101111100110011111010001110100001001001001101111101111
|
||||
10001101101001100101011010100010001111110011111111110000001111111101100011011010111001010111110011001000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000010010011111111101100111110110000110111101111001100111101111011000011011111001
|
||||
10011111011000011011110111100110011110111101100001101111100110011111110001111111111010010000100110100110011110010001010110101000
|
||||
01110011111111111100100000110101110010101111001100110000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00010000111001111111111111110110100000111101111111111111101111000001011011111111111111110110100000111101111111111111101111000001
|
||||
01101111111101000010100000011100110000110101111111111110101000011111110111111100110101010001010000011100110000011111111001000011
|
||||
10110111100000000000000000000000000000000000000000000000000000000000000000000000000100010110110100010000011110100111001001000111
|
||||
11100010011011010001000001111010011100100100011111100010011011010001000001111010001000001000000100011111100010000100000001001110
|
||||
00010001111110001000000000000000000000000000000000000000000000000000001001011100100010000000000000000100000100001000000000100011
|
||||
01110000010000000000001001010000100011001100010010000100110000100011010010110100100000000000000000010010000000000000000000000000
|
||||
00000000000010000111100001010100100000000001000010000010000111000011000000000000110010001010011010010110001100000000000000000000
|
||||
01011100000110010001000000000000000000100001000000000000001001100000000000000000000000000011100001000100111100111000000001001010
|
||||
01000100011011000000000000000001110000001000000000001000110000000000100011000001001111000111001110000000000000001001110100011100
|
||||
11000000000000000000000000000000000100010110100000110000111000100100000000000000010011110000010000110000000000000001000110100110
|
||||
00000000000000010000100100101100111010000110010000000100001000000000000000000100110000000000000000000000000100010000000000000000
|
||||
00000000000000100100010101100000000100001000000001000010000100101000000000010000100000100001001010100000000000000000000001100111
|
||||
10000000000000000000100000000000001000011110001101000000000001000100000100001000010010001110000100000010000111010001000000000100
|
||||
11000010011110011001001000000000000000011100001000000100001000000000000000000000011001111000000000000000000000000000001001110100
|
||||
11100000101010000001010011010010011110000001001111001010100101000010100100100110100000000000000100010000000100011000000010000000
|
||||
00000001001111000100000000000000011000011100000000000000000000000000000000010001011010000011000011000010000000000100000000010000
|
||||
00100011000100000100001000011000110011000010000000100011001101100001010010000001000010000000000110001100110000101000000000010011
|
||||
10000000000000000000000000000000000000000010011100100011100100110100110100000000010010001100001100100110000100010100110100111010
|
||||
00101001110100111010000100100000110001100000100010100001010011101110100010100111000010000010000111000100011100110001100110010010
|
||||
01110000101101110100000111010000000010110001101011000000010000100000000011010100000000100101000001100111100100100000000000000000
|
||||
00000000100000110000011001001101000000100001000000100100010110010000010011110110100100001100001010000000001011101100000011100001
|
||||
00100111100110101011000100101101011000110100010010010101000000010001011100001000010001101001101000111000100100110010010100000000
|
||||
10000000001000100000000001100111100000000000000000000000001000010100001010101001000110000000110100100101001001010011010010101110
|
||||
10001010010010010110000100010011110011111000100100001001000000001001101001110100110100001111000010000101010010000011000100100100
|
||||
10101001110100011110010001010010100010000101001100000100011000000000000001100011110000000000000000000000000000000001000100010111
|
||||
01001110000000001000110000100000101111100101111011000000100010100000010011101011000110000011010010010011100011100000100001001010
|
||||
11010000100110101000001000100100011100000110110000001110100000100010111001001011100010001001111001111001010101010110110000000000
|
||||
00000000100111000000000001100111100000000000000000000000000100110001100011001100000101001000000100111100000010001101101000001100
|
||||
01110011101011110110110000000100000001000000001011101001110100100011000011010000100001100111110010000110000110011100100001101111
|
||||
10010101001100001100100100111010000000000110001100000000000000000000001100001110000000000000000000000000000100110010011001100000
|
||||
01100000001001011000111100010010100111100001100110001001111001011000110010000100100001010010001001100100011100111000000000101101
|
||||
10001101011010100110110000011010110101000111010011100100101100001100011100101001000011101000101100110010101101001000000000000000
|
||||
01100000011000000000000000000000000000000010010010001100000000010000110001100100001010010001001000110001000110011010011010001100
|
||||
00001010010010101110011000110010001100000011100010100100110001000001000111011100100100011101000001100010010111000001010011010010
|
||||
01000100011100000011001100100110110101000010001100000000000000100000000000000000000000000000000000010010110011110001111001001000
|
||||
00000010011011001000101001101101000100100101000100001110100010000100110010000110011100001001111101001010100000100010100110000000
|
||||
01000100111001001010010100010010001101010000001100001101100010100011000100111100001100010001001000000000000000000000000000100111
|
||||
00000000000000000000000010110100011010001010000000001011111001110000010000010011010000100000000100011010011000000000010000011010
|
||||
01001110100100101001011000010100010001001101011111000111000011101011000010011110001101000001001100001001010000000000000001100001
|
||||
11000000000000000000000000000000000111010000001101000001100001110001100000101011000000010000000001000011000101000110100101000010
|
||||
01101110100000010011110011110010011100010000101011000001000111100010100010000110001100100110100000010111110000110011010011010101
|
||||
10001001100100011110001100001001000100010010001000000000000000000000110001001010011100101010010000110011100100011000000000000010
|
||||
01111000111101100000100110000000000100101111001100010010100010011011001010101001100100110010000010010110010110010001000011000001
|
||||
00010110010001010010100000001001011000001001101001010010000000000110010000110001010001100100100010000110010100000000000000000000
|
||||
00000000000010010100000000010011000000000000010011001000011001000000000000110010010110000110011100010110010010110010101001100001
|
||||
00110000100100100000100111000000000101001101100100111100110100111010110010011001001101001001000111101000010100000100111001010010
|
||||
01001111000010010100100100111000000000000110000111000000000001001010000000000000000000001001010100011110001100011010001010000001
|
||||
11100000010010100010011010011100100011100010101100001000100100111001001011001000110011000100001000010101011100110010001001000010
|
||||
10011000101010011010000110110010000110011001100010010100111111010000010001010000010001001001110000000010000000010010000000000000
|
||||
00000100011010011000000000000000000000010011010000010010000000001000110001001010111010000010011101100100001001110100000010000010
|
||||
01011001010001111000001100101000100111011011000001001100010011011010000101001011011010100001010000110010010010000100100101110100
|
||||
10110000101110100000010000111110000001001000000010001000000001011100000000000000011110000000001010100100101000000000000000000000
|
||||
10101010000000000010000110010010011110011101110000100001100010100011001000010100101001000001000000000110010001010111010001010011
|
||||
10001000000010010000100000110000011011100010000000010111100001101010000001000100100001010001100100011001000000010000100000000000
|
||||
01111000000100100000010100100000000000000000001001110001011010000001000011101010000100111100001100000111000110010000000001001110
|
||||
00001001101000010001000001001000100100000100110001000111110000100101011010001110010001101000100110010000110011011100000101001000
|
||||
11001110101000001101011010111000100001000101101101000010011000100101000000000100110000000000000000000000000000000000000000010011
|
||||
11010000111101111111110011000000000110011001111111000101000011110001000111111110001101010011111111111010000110100011111100110010
|
||||
10000011110111010111101111110100001010001111101110000000010100010100001110011101110110011001111001111110011111101111101111111100
|
||||
11000110101010111001111110110111111010100000111100110010000111000000111111111001010100000000000000000000000000000000000000000000
|
||||
00000000000100111111110111110010010100011001100110000000110000111111111000101100010100111000100011000011001000111101010011101000
|
||||
11000101001110011001111111001110101001010001101100000011110111011000111010001111110000110001010010100011111011101000110000100001
|
||||
10001111010101011111101111100100111001110110100010001111110011111100111111100100110111111111110010001000111101010100101000100100
|
||||
11111111001111101101100011011110000001010111000001111001000110000110011000011110000000000000000000000000000000000000000000000000
|
||||
00100010001010110010101000000011000100011011111001001110111011111100110011000001101100110011100110101011111011101110100010001101
|
||||
11010101011011000000111111101110001011101110111110001100101011111001000111111111010000000101000111100100010010101010000110001111
|
||||
11101110111010101111000000110110101000111110111110101000000111000001010101111000000111010001000101100110011000000000000000000000
|
||||
00000000000000000000000000011110111111110101010100001110011001111001100111001100000001111011101111111101010000111101110111110011
|
||||
00101010001111100000100001111011101111110001001001100101000001010000111011101111110011001000011111110011000000100011011111011101
|
||||
11100110001100010011111011111101010001101110111011111111001010000110101010110100001111110111111101000000111000101010000111000011
|
||||
11111111110101010000000000000000000000000000000000000000000000000011000000111001001000001101000100000000001000000011100001000011
|
||||
00000011100100110000110011110001000010010001000111111000000001100000011101011110000110011110001000000000010000000100110001000000
|
||||
01001110010000000100111000010001111110001000011000000111001000000000000000000000000000000000000000000000110011000100000010000100
|
||||
00000000010001000001000101001110000000010010101000100000000000000000000000010011000000000000001001000000000000000000000000000000
|
||||
00000000000000100011100111100100000011000011011001100000000000001000000010000100000010000000001100000110110100000110010110011100
|
||||
10000111100001000001000100111000000101000110010000100000001100011000000000000000000000010001100000000000000000000000000000000000
|
||||
10011110100100000100000101001001000110000000000010101000000110100010010000000010001011010001001001110000100111010011000010000101
|
||||
00101000100111010011110010100100011100111000000000000000000010011000100100001001010000000000000000000000000000110010000101000100
|
||||
00001011010000100001000000000001000010000110010100101000010001100100001101100000010000010000101001000011100000010000000100001010
|
||||
01000010000100000000000000100101000000001001110010010000000000000000000000000010010101001001001000000100010000001101000010000001
|
||||
00010011000101001001010000000101110110011100000000000001000001000010000000000010110000100001100101010011100000100101000000000000
|
||||
00000000000000000000000000000000000000100001100001100110000010011101001110100001111000001010011100001000010010011101011011000011
|
||||
11100010100000000001011111001101000110000111000100000000111000010000000010011100011000001101001110000010000000000000000000000000
|
||||
00000000000000000000000000000010001110011101001100000001010100010011110001110011100010010100110010010100000000100010000100011010
|
||||
10011001110101100001000111000000000000100110000111000100000010001010011101001110001000111000110000000000000000000000000000000000
|
||||
00000000000000010000111010100000001000000000010000000000000000100000101111000001000011001100010011011000100011000010000100000100
|
||||
00100001000001000010010010000010001100011101000011000111000010000101111000000000000000000000000000000001000000000001001000000000
|
||||
01000110100110000111000100110010110100111110000000101001100101010001100000001001000100011011011000000100111100010101110100001110
|
||||
10001010100001010000110110110001110001100100011110000011000100001100010100011101111000011000001101101110001010010111000011001000
|
||||
10010000010110101000010100010010110100000000000001000100000100110000000000001000110010000100000000000001001010110110000001001001
|
||||
00000010001011110000001110000010100010100001001100100110100110110110000000000000011010000011100010010100101100000001001011111000
|
||||
00010010011000000111001010111100010010000110011010011000101101011010100000101101100000110001001001001101100100001101101010011000
|
||||
01001011011101000111000101001000100100010000000000000000000000000100100000000000000000100101000000001000111001000001000101100101
|
||||
01001110001010010001101000111100100100100111000001011000100110100010101010110010000100011010000010100011100101000101010010010100
|
||||
10000110010110010101010101000111001111001011010011110001100101101001000011100010010010010001110100000110001100011001000010001001
|
||||
11011010100101001100000000000000100001000000000000001001100000000000000000100101001000001011010000110001101001110001000010011110
|
||||
00011000010000000001100110001100001111000010010011101001011000111000010100110011000100011000100010011100011000001100000100111100
|
||||
01010000010010100110000001110100101011011010111011011011001100010010100100100111010011101101000010100110100011000000000000000000
|
||||
00000000000000000000000000000001000001100100110100101010000000110001100000100010010001010011011100010001001110100010000001000001
|
||||
00011110100001010110001000111100010100111000100010000100111000001010011111000100011100100010010010011100000011000100011011000000
|
||||
10010010001000100110100110010000101100011000100100010000010001001101000010110100001000011000001101100100010100110000000000000000
|
||||
00000000000000000000000000000000011100010000010101110011010000101001011001100110100001011010100101000110001001110001001101110110
|
||||
00010011010010010001001001011001011001011010101101011000010110111010000101101000100011100110000101101010111010011110001101110000
|
||||
01001101000110100100100011100100001111001001100001001000100101110010000001001010100110110010010010010100000000000000000000000000
|
||||
00000000000000000000000110100001011100001011001100100111001000000000010000011010000100000000100010011001000010011110010000010000
|
||||
00100110001001001001111100001100100110000100101110100010010011010101010011110000011001001001001101001100010000010001010011010011
|
||||
00100011011011000101011001110110010010001101000011000001001000000000000000000000000000000000000000000000000000010001000011001000
|
||||
10010001100100011101110011010010101000100001000101001110001001011000011000111100010010001011010110010010010111000100100100010111
|
||||
00010001000000100110110010001010101011100000101000000011001000100010000010001100100001100100100000001000000111000010010101110000
|
||||
00100101100111101001100010100110000000000000000000000000000000000000000000000001000001001101010011001011001010110100001010010101
|
||||
00111100011100011100101110010010011100101010000000001010010010000010010100100110010000000100101110110001010011011101110001001010
|
||||
11011000011110001001100110100110100001100010100000111000100100000100011100100010000101011001100110010100111100001001000001011111
|
||||
00101000000000000000100001010001100000000000100011000000000000000100001100101100111100110110100110110010100110011001010001010010
|
||||
11110011100100000001011111000000000110110010000100011110110000001001101100011001010000001000111110100100100001100110010010111100
|
||||
00010110010010110010000001110001000010001110010010100110000011000110000101001001001101011111110000010010010110001100110010010010
|
||||
01000000100110100110000000000000000001001100000000000000000000000000000100011100001100110110001000100010010010011010100101001111
|
||||
01111100010100110000000011010100000100011100000000110010001000101001010001000100001110001001011000000111001001000011000111000011
|
||||
10101000000010010110000111010100000010001110000111010010101001101001000010101101001010100000011000101001100100010000000000000000
|
||||
00100110000000000000100110000000000000000010001101000010010000010011001110101001100001100010100111100110100110100110110100001010
|
||||
00110100011100111100010001001100000001001100110010101011000011101001010001110000110100100010011000010010000100110010001010010000
|
||||
11000100101011001001000100001100111100100100111101100100000100111011010100000100000100100100001100111010101100000000000000000000
|
||||
00000000000000000000000110001100111001000101001111100101010100101101001100110010011011010000100010111001001101001110100010100111
|
||||
10001010001010011100100001110100101010101101001010100000010100100100000110100000101010011010100011001001011110001000100110001000
|
||||
01110001000101001101001101110100000100001100010110010001001101000001110010000110000110011111100000010111100000010001001000111001
|
||||
01110101001000000000000000000000000000000000001001100000001001010010001110001101001110101111100110010001101001111001001101000100
|
||||
00010011110011110000000010011110010001001110100111011100001001101101010010111110010101000111100101001000001001010011101000000100
|
||||
01010010111000110001001001001110110100000110101000001100011001100101000010000011001100101001000000000000000000000000000000000001
|
||||
01100000000000000100000001001100100110011000101000100001100101110001100011010100000010010111100000011100010010101010000100010001
|
||||
10001010010001110000000001000101000000010010100100000100110001110010001010111010000100111001010010000011000100010100110010000010
|
||||
00001011101000011110100000000100001100010110100001000011001101010000000000000000000000000000000000000010001100000000100011101110
|
||||
10010101000010101010101111100111010101001011000001000111000100100001010000001001011000101100110001100111000100110010110101100010
|
||||
01010001000101101010010001001100111011000001000010100011100101001101010000010011010001101000111001000110001100010110010010011010
|
||||
11000100100101100010110010011111110100000101010000001011000000000000000000000000000000000000011101010101110111111011111101111111
|
||||
01110110011000011000000111001110101000111010101001100111100111100011001010000000010100010100010000111101111111111111110010100011
|
||||
11001100011111101111010000101111101000011011011111110001001011000011001111001100011101110111111101110001010000101000110111111101
|
||||
01000101100011111111101101101111011111111011111111100011110111111110001000011010001001010000100110111101101100000000000000000000
|
||||
00000000000000000000011101010101010001001001111110110110010001010001111111100001101010101100100001000111111001100110011111110010
|
||||
01101001100110000011011000011001101010101000001111110111101000110111010011101111111010001000111111111101010010010100010011110101
|
||||
01000111111111101110001010011001000111111001111101010111111110011110010001001001010001110001000100011111100000011111111101001001
|
||||
11011101110100001111001101101100100100101000110110111111000011001010001101011100100100101000111000100010001111010001001110111111
|
||||
10010011011100110100011000000000000000000000000000000000000000000000100010100111111100110001111111100110011001110001110100011101
|
||||
01010100101001000101101010001111100010010010111110111111100011111100010110101010100001000101000011100001111101011111111011011111
|
||||
11100011110011001111111011011111101101000001100001100111100110011111011101101110000111100111011111011101000111010001100000011101
|
||||
01111111100001110011001100011101000010010111110111111100010001100011111110111111111011101001001100100110111001111110001010100010
|
||||
10001001011111111110110100110101111011111110111111101101101111111001000100000000000000000000000001001100000000000000000000110111
|
||||
11111111001000011111011001111001100100110111111111011010101010100110100011010100011110011000101000110001111101010000000011001100
|
||||
11110000111110000111111111101101100100010100010100001111110110111001100110011010100011111011101000011111001110110110011010011011
|
||||
01010101111100111110000111101100001111110000100110111100111111110011000101000111101110110011011111011111010001000011110111111111
|
||||
00111010111111101111010111000111011111111110111010111111110111011111110111111000011100110010000000000000000000000000000000000000
|
||||
00001100000011100100010011100110001000101000111111000000001000010100011111100000000110000001110101100001100000011101011100011111
|
||||
10001110010000000100111001100010011101011110000110011110001000011000100010100011111100011000110001000101000111111000000001000000
|
||||
01110000100001100000011100100010011000100000001001110000000000000000000000000000000010011110000100000000000000000000000000000100
|
||||
01100000010000000100101000010010100000000000000000000000100100000000001000001001010000000000000000000000000000000000100001000000
|
||||
00000000000000000000000001001010001000010000010000111100001000000000000000000000000000100011100110000000000001001110000000000100
|
||||
01110001100000000000100110000000010011010011010001001110000100000000000000000000000000100111000000000010010100000100101001000110
|
||||
00000000000000000000010010110010100000000110000110010001100000000000001001100000000000100110110001100000000000000000000000000000
|
||||
00000010001100001000011001100000010001000100011100000100001001010010000000000000000000000010001100000000100001010001000000000000
|
||||
10011000000000000100100100110000000000000000000000000000000000000000000000010010000000011001110000000000000000000000000000000000
|
||||
00101010000000000000000000000000000000000110011010000000000000000000000000000000000100001000000000000100010000000000000000000010
|
||||
01010000000000000001000000000000000000000000000000000000011001111000000000000000000000000000000000001001111100010101100110000001
|
||||
00101000000100110000000000000000000000000000000001011100000000000000000000000000001100001111000000000000000000000000000000000000
|
||||
00010001011101000001001000000000001000000000000000000000000100011000000000000000100011000000000000000000000000000000000001000010
|
||||
00000000000000000000000000001100100010100110100001111000000110000010010110001100000000010001101000110010010100000000000000000000
|
||||
00000001000110001100010010000000000000000000000000000000011001101001100011001000000000000000000000001000001011010110100010110000
|
||||
01110100000110001001000100011100100100110000000010010001101000010100110100110100000000001000010000000000000000000000010011001001
|
||||
10110100101010011010010100000000000000000000000000000000011001111101011010000000000000000000100110000000101010100011100000000110
|
||||
01000101001011001010000000100110110100110010011101110001000101001010010000000100001100111000000000000000000000010001110001010010
|
||||
11001110000000000000000000000001010011100001111000000000000000000000001000110000000110010101010011000100010101010100000100111000
|
||||
00000011000101000000000000000000000000000000000000011000100101011100000000000000000000000000000001100111101000000000000000000000
|
||||
00000000100001011001000101001111101000100100001001000101001000000000010011000000000000000000000000000000100000000011000100100100
|
||||
10000000000001000010000000000000110000111100000000101011000000000000000000010110000000001000000000010001000000001101010000001000
|
||||
00000000001001110000000000000100001000000001000010010011011011001100110110000000000000000000000000100110000000000010010100000000
|
||||
00000000000000001001110100110100011100000011110100000001011000011111000000001010010100001010011011010011000010001000100001100011
|
||||
01000010010110010101000000001101010000000000000001000111000001111010000101101100101000010001000000100000000000001000101001110000
|
||||
00000000000000000000000000010010101000101011110000110010010010110001101010000010001100000100000001000111001110100000000100101010
|
||||
00000000000000001001110110000001100000000010001110000000000010000000000000000000100011000000000001000110000000000000000000000000
|
||||
00000000010111101000001010010010000100000110000110010011000000000000000000000000000000001000000110000011010000110011000000000000
|
||||
00000000000000110000111100001010100000000000000001000110000001001010100100001000110010001000010000000001001110010011111100000011
|
||||
00100000000100111000000000000000000010110000000010011011010110000000000000000000000000000000000000000000001000100010110000000000
|
||||
00000000000001001011000110010010010000101000111000010010000110000110000000000010000100000000000100010000000000000001001101000101
|
||||
00001000000000000000000000000000010001100000000100000000000000100101000001000010010001010111101101000001100110011001001001000000
|
||||
10000001000000000000010110100000000000000000100000001010110000000000100100100100110100001010011010010100000000000000000000000001
|
||||
10000111100000000100111001001101001000110100010010011100000000000000000010001101011111010010010010101011110000010001000100110100
|
||||
11101000011001010000000000000000000000000000000010001001100010100110001001011101000000000000000000000000000000000100110001000111
|
||||
00010000000000000001000110000000101101010111111100010001011110000100110000001000101001000000000000000000000000000000000000100010
|
||||
00000000000000000000000000000000000000010011100000000000000000100010000110001001000100110001101100000010010110100111000101010101
|
||||
01100010010000000000000000000000000000000000001000010001001000000000000000000000000000000010010000001010110000000000001001010000
|
||||
01001111100010001100110110001010011001000011110100000000101100111100101011011000001000000010001100100111000001101010000000000000
|
||||
00000000000001100000011000000100111110101000001000001101000001101101000000000000000000000000000001111000000000000000000000000000
|
||||
00001111111010001100111111111001100101100110011001010001101100111000011100110010001111001111100001111011101110011010011000000000
|
||||
00000000000000000000001100001111101000011110111011111110000000000000000000000000000000000100111000000000000000000000000011111110
|
||||
10010010010100010011110001110100001001001111011111110110111110001100110001010000001111000000110001010011101110111001101000110000
|
||||
00000000000000000000000000100001111111101110010011100111111010001000110000000000000000000000000000000000000000000000000000011111
|
||||
01110000110111011110001011010100010011100110011010000001001001010000001101000100100100111011101111001100111000110000000000000000
|
||||
00000000000000110001001111101000100111101111111111110110000000000000000000000000000000000000000000000000000000000001111101100001
|
||||
11111010010100001101010011001110011000111111001000010000100000100001111011101111001100111001100000000000000000000000000000001100
|
||||
11001111101000100111101111111111101110000000000000000000000000000100110000000000000000000000000100000000001100000011100100000000
|
||||
01000100000011100001000011000110000000000000000000000000000000010000000100111000000000000000000000000000000000000100110000000000
|
||||
00000000000000000001001010000000000000000000000000000000000000000000000000000000000000000000000000000001010010000100111100110010
|
||||
00011101100000000000000000000000000001100100001100100000000000000000000000000000000000000000000100011000000100011000000000000000
|
||||
00000000000000000011011000000000000000000000000000000010001000000000000001000100000000000010100100000000000000000000000000000000
|
||||
00000000000000000000010011010011000000000010011101000000000000000000000000000010000000000000000000100000000000000100010100111000
|
||||
00000000000000010010100000010010100000000000000000000000000001001001001000000000000000000000000000000000000000010010000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000001100111100001000011001010000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000011001111001001110010011100000000000000000000000
|
||||
00100110000000000000000001010100000000000000000000000000000001010100000000000000000000000000000001100001111000000110000001110011
|
||||
10100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010
|
||||
00000000000000000000000000011000100100000000001000110000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
01100111100110001100100000110000001100000000000000000000100000100101010000100000000000000000000100011000000001000110000000000000
|
||||
00000000000000000000000000000000000000000110011110010011100000000000001000011001110000000000010000110010010001100000000100101000
|
||||
00000000010011000000000100101000000000001010100000000000000000000000000000000000001100001111000000000000000000000000000000000011
|
||||
10000010100110000000000000000000000110100010000000000000000000000000000000000000000000000000000000000000011001111000000000000000
|
||||
00000000000000101110000000000000000010001000000100101000000000000000000000000000000000000000000000000000000000011000011110000000
|
||||
00101011000000000000000000000000001001101001010000000000000001000000000010001101001000000000000000000000000000001001100000000000
|
||||
00000000000000000000000000100101100111000000000000000000000000000000000000000000000000101011010000000000000000000000000000000000
|
||||
00000000000000000000000000000000001001111001000000000000000000000000000110001011010011100000000000000000000000000010101100000000
|
||||
00000000000000010010000000000000000000000000000000000000001111001000000000010010100000000100010100111000000000000000000000000000
|
||||
00000010111000000000000000000000000000000000000000000000000000100000000001100001111000000000100011000000000000000000000000101010
|
||||
01101010000100011000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000010010100000110001001
|
||||
01001110000000000000000000100111100110000000000001010100000000100101010000100000000000000000000000000000000000000000000000000100
|
||||
01000000000000000000000000000000000010101100000000001001000000000000000000000000100100000000011010100000000000000000000000000000
|
||||
00000000000000000000000110000111100000000011111000000000100110000000000000000000011000011101000110000000000000001001100000000010
|
||||
01010000000000000000000000000000000000000000000000000000000000000010011100110010010000000000000000000000000001101010000000000001
|
||||
00110001000001001000000000000000000000000000000000000000000000000000000000000000000000000010010100100010010101100000000000000000
|
||||
00010010101001000000000000001000010000000001000100000000000000000000000000000000000000000000000000000000000000000011000110000010
|
||||
01010100110000000000000000000010010000100000000000000100011000000000100000100110000100001100111000000000000000000000000000000000
|
||||
00000000000000000000000000101101000000000000000000000000111100111100011000011111001100000000000000000000001110111011000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000011000000111100001111000101010000000000000000000001001
|
||||
00111011101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000111010001111111100100011
|
||||
00000000000000000000011101110110000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011101110
|
||||
00011110011111111001001100000000000000000000001111011011000000000000000000000000000000000000000000000000000000000000000000111101
|
||||
00000000000000000000000000010000000100111001001000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000100101010001010011100000000110010010100000000000000000000000000000000000000000000000000
|
||||
00000101001100101000000000100110001001110000000000000000000000000000000000100001000000000001000010010000110011100000000000000000
|
||||
10001100010010101000110000000000000000000000000000010010000000000011011000000000000000000000000000000000000000000001000100100111
|
||||
00000000010010010011110011100000000000000000000001000100000000000000000000000000000011010001000000101101010000000000000000000000
|
||||
00000000000000000010000010010101110000010000000001000001001011101000010100001000000000000000000100101000100110100000100101000000
|
||||
00000000000000000000001001001001110000000000000000000000000000000000000000000000010010100000000000100101000000100001000000100011
|
||||
00000000000000000000000000000000000000000000000001100111100000100111000000000000000000000010000100000000000100001000000001001110
|
||||
01000010000000000000010010100000000000000000000000000000000000000000000001100111100100111000000000000000000000000001001110000000
|
||||
00000000000101100000000000001000000000000000000000000000000000000000000000000000000011000011110001000011001110100000000000000000
|
||||
00000000000000000000000100000100101000000100010000100000100101000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000001001010100111010011000000001000110100010010011100000000000000000000100000000000000000000000000000
|
||||
00000000000000000110011110011000100010000000000000000000000010000000000000000001000011010010110000101100000010000100100101010010
|
||||
00000000000000000000000000000000000000000000000000000000000000000110011110000000000000000000000000000000100010000000010011001000
|
||||
10001010100000000010001101001100000000000000000000000000000000000000000000000000000000110000111100000000000000000000000000000000
|
||||
10011100000000100100011101000000000000100111010010101001000000000000000000000010000100000000000000000000000000000000000001100111
|
||||
10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001111000000
|
||||
00000000011100100000010001110001000001001001101000100000000000000000000000000000000000001100001010010011000010101001000000000000
|
||||
00000000000000000000000000000000000000000000000000000001001010000000101011100001100100000000000000000000000000000010010000000000
|
||||
10011001000110000010001000000000000000000000000000000000000000000000000000000000000010011010011000010001101001100000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000001010110011101000001000110110
|
||||
11000000000000000000000000000001001100000000001001100000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000100011010000000001000010100111000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000001001110000000000000000001000011001001101100000000010000110001100000000000000000000000000000000000000
|
||||
00000000000000000000001011010000000000000000000000000000000000000000000000010011001001111100000011000010001000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000001000110000000000000000000000000010011000000000000000000000000
|
||||
00000000000000000000000000000000000000000001010110000001001000000000000000000000000000000000000100010000000010000101001110000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110000100001000000000010110100000111
|
||||
00000101000110000000000000000000000000000000000000000000000000001011010001010110000000000000000000000000000000000101101000000111
|
||||
00100000000010010010000100000000100010000000000000000000000000000000000000000000000000000000000000000000001001010000000000000000
|
||||
00001000100000001001100000010010100000000000000000000000000000000000000000000000000000000000000000000000000000000100001100110000
|
||||
00000000000000000000000000000001000011001111100000110010011100010001000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000001001010000000000000000010011101001111000010100100010010000000000000000000000000000000000000000000000
|
||||
00000000000000000000000010000010000110001100000000000000000000010000001000010000000000001001000010000010010100000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000100100000000100111000000000100010000110001000100000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000001000110000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000100000000000000000000000000000000001001100000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000001001110000000000000000000010000110011000000000100101000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000001000110000001000100000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000010000000100001000000000000001000000011000100101001100000000000
|
||||
00010001100100001000000000000000000000000000000000000000000000000000000000000000000000000001001100100000000000000000000100110011
|
||||
11000001100011000000000000100010100110100111100011010111100000000000000000000000000000000000000000000000000000000001000000000000
|
||||
00000000000000000000000001000011001100100001000000000000001000011000111001110000010010000000000000000000000000000000000000000000
|
||||
00000000000100010000000000000000000101010000000000000000110000011011100000010000000000000010011110000000100100001011011001100000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000100010000000000000000000001010100000
|
||||
00001000101110111000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000100101100000001101000
|
||||
01001000110000010001000100011001000111001101000110100001000001000011001100010000000000000010011000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000010011110011100000010011101001100100011001001100010011100000100110011110010001101
|
||||
00001000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000100010000000000000100000100110100
|
||||
01100000000100000010000100000000000000000000000000000000000000000000000000000000000001001100000000000000000000000000101101000000
|
||||
10010100100000001001010000000101010100010000000000000000011001111000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000100000000001001110010010001101001010111000001001001100010000111100001010000000000000011001111000000000000000
|
||||
00000000000000000000000000000000000001000010000010000100000000000000000000000001001110001000101000100000101001100111000001001110
|
||||
00001001100001101000111000000000000000000000000000000000000000000000000000000000000100101000000000000000000000001000111000110100
|
||||
01101100010001000001000001000010100011001100101001100001000010000000001010110000000101011000000000000000000000000000000000000000
|
||||
00000000000000000000000000000001000110000000100001110100001010010011011000000100010011000100010010011100100000110110101010011101
|
||||
00001011000101001000010000000010010100000110011110010010000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
11010000010000000010001011100000010011000100111100000011110100010010011010011001001000100110010010010000001001100001000000000000
|
||||
01100111100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110100010010011100010001101000
|
||||
01010000001110001000100011111000100010001101001001100011000100011000000010100111000101110000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000101001011001001101000011001000111000010011000000111000011001101000110111000010010001010
|
||||
00001000010100110100000001011000000000000110011110000000000000000000000000000000000000000000000000000000000000000000000010011100
|
||||
00010000010010100110001001011110100100110110101011100010001001100010011110010010011010100101001001101001010010011001000010100110
|
||||
00000000011000001110000000000000000000000000000000000000000000001011010000000000010110100000000000000000100010000000001010010100
|
||||
11110000011001010101000110001000101000001101000101100010011001100101001011001001000000011000100010100010000000001100000011000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000100001100101101011000110100001001110000100111001000
|
||||
00010011111100000011001010100110001011100100011100110010111101000101001110000010000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000001000101000011001000010001010000101110001001011000011000110000011011001100101000111001
|
||||
10101011100010100011000000000100111000000010011100000000000000000000000000000000000000000000000000000000000000000000000000010011
|
||||
01001100001000011101000001011010010111100100010100001111000001011100000011001001001111000101101110000010101011000111010001100010
|
||||
11011100000101001100000001000001100000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000100
|
||||
00110011000001010100110101001101000110001001101000110010000110000010011101000010010001010010000000000000000001001110000000000000
|
||||
00000000000000000000000000000000000000000000000000000000001000000110110000000001101000100100011000100000101110100100010001000111
|
||||
00000101101000101100011100010101001110001100110011111011000000000000000000001000010000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000001001010001100101010110000011010011001001100011000100101110000100110100000110011010001111001001011001
|
||||
00010011000011000100010000000011000001110000010001001110010000000000000000000000000000000000000000001001010000000000010001100000
|
||||
00000000000000000010010011001110001000001101100000100101100101100100100111100101100011000100000110100010011011000000000000000000
|
||||
10111100000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100101011001001000110001001001100
|
||||
10010011100010100100111100011001001001000000000000000000010011000000011000110000100100000000000000000000000000000000000000000000
|
||||
01011000000010110010010100000000000000000000001000100101101100011100011001000011011101001011000110100010110100110010011110001011
|
||||
00100100100111100010101001100110010001011101010000000000001001000010011001001110000000000000000000000000000000000000010010000000
|
||||
00000000000000000000000000000000100000100110000101101010011010010110000101100000110110010000110011101000010100011000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000011110101111111111101100001101100111100110000111
|
||||
10001000111111011110100001100010011111000100001111110110111110111101111001100101000100001111011101100000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000010000100001010001010001001001000001100001100100011011110011001011101
|
||||
11101111111010111111100001100110001001110011110111011111101100110000110011000011111110101010101110111011101100000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000001000001111110101100100010100000111010100010010111000110
|
||||
01101000100010110100000111101000001001011100001011010001011000011111110101010101110111011101100000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000001000100000110001000111111101111000011111110111111011111100111111110001110011
|
||||
00111111101100110100010111111100000110000111111111111100111100110010100010000111100010000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000100111001000000011100001000000100011101111001100000011100100100011101111001
|
||||
10000001110010010001111110001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000001001010000100011001001000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000001000010000000000100110000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000010001010011100010001110001110110100001001000100110000000001001110100011100110000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000001000010000010000010011000000000000010011000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000001001000000000000000000000000000000000110011110000000000000000000000000000000
|
||||
00000000000000000000000000000010001000000000000000000000001000100000000000000000000000000000000001100111100000000000000000000000
|
||||
00000000000000000000000000010101000000000000100111000000000000000000000010110100000000000000000000000001100001110000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000010110110011010011100000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000100110001000100000000010010011000010101001011001100100001100111100
|
||||
00101001010110001001010011111010010011001000000000001010110000100101000001100111100100100000000000000000000000000000000000000000
|
||||
00000000000000001000110000000000010001100010011100000100111100110010011010000011000101000100101101110010110010011100100110100110
|
||||
11001001011110100100100000000000000000000110011110000000000000000000000000000000000000000000000000000000000100101000000000000000
|
||||
00000000100101100011111001000010100100010010010111010001101001101000100100001100100000000000000011000111100000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000010001001000110110100001011000100101000000100100011000010101100100
|
||||
00111010000110010110011000001101001111001111110110000000000000000000000001100111100000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000100100100101010011100110000101011000001101000111100100001000110001011010000010001100000000000
|
||||
00110000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110101101110101000110001000
|
||||
10000010011010000111100000100010011110011001110001100000000000000110000001100000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000010011110010110000011100000101110010000001100100100010000110010101000110011011000001001101011110
|
||||
00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010010011000110001
|
||||
00100100100100111010000011000110001011101001100001100001010110000111001001000000000000000000000000100111000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000110001100001001101111001000110010000110010010010110100101001010001101001
|
||||
00100010000000000000001100001110000010011000000000000000000000000000000000000000000000000000000100011000000000000000000000001011
|
||||
11100000110100000110010110000100100011100001100010100011011100100001001100110010010000000000000000000000000010010000000000010101
|
||||
00010011100000000000000000000000000000000000000001001010000000000000000000000100010100011100001011000101101000010100101110010001
|
||||
00010101100100000010011110111100000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000
|
||||
00000000010101110011110011110101101000101100010001100000010010010011010001111000100010100100010000100000000001100001110000000110
|
||||
11000000000000000000000000000000000000000000000000000000000000000000000000000000001000101000101110000001100011100000011100001000
|
||||
01101000001010011010001001010011000111001010000000000000000000000000010001001001110000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000010000011100001000100101010010010001010011000010011110000011100010000000000101100000000000001011110
|
||||
00100010010100100000000000000000000000000000000000000000000000000000000000000000000000000010001010011110000100011000001101000010
|
||||
11100100000100010100001101010010001100000000000000000000010111110010000001000110000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000010010001100100001111001001011101100011000011010110010001011010110100100100001000001001111001010000100001
|
||||
10011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001010111111111001001101000
|
||||
00010100011111100110111001111111001111110111001010111001111110110111111110111000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000001111001010101000100100100110101000100011110111111111111100111001001110011111101
|
||||
00010001111100101011100111111100100101000110011111110001100000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000001111011010111110111101010001010000100000111101110101111011011111111101101110111111111111111001100011001
|
||||
10011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110110101010000111100
|
||||
11111111110001011000000111111011101010100011111101110101000101000011000110011110001000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000100000001001110010000000100111001000000010011100000000000000000000000000
|
||||
00000000000000000000100101001100001100000000000000100101000000000000010001001011010100100000001000100001001010000000001000110100
|
||||
00110011000010001101001000000100011000000000000000000000000000000000000000000000001000110100001111000000101001101001110000000000
|
||||
00000100000000000000000000110010001010011000010011100000010000100000110010001011000000111001110000010001000010001110011110011100
|
||||
00000000000000000000000000000000000000000010010101000111110001100000000000000001010100000000000000100110100110001001100010011010
|
||||
01110100010100111001001010000111000100000000100111101100101011000001111000100100111000000000000001001000000000000000000000000000
|
||||
00000000000010000010000100000000000000100011000100010000000000100000000001011010100011000000000010000100000101100010010000010000
|
||||
00001000101101100001000000000000100111000000000000000000000000000000000000001001010000000000000000000000000010001100001001001100
|
||||
00110100100010010011010010100000000000010010100010010100010101010001100010001001000111001000000000000000000000000000000000000000
|
||||
00000000001000110100001000000100111010001110001100000001000010000000010011000100001100110010100100110010001011010001011000111001
|
||||
00001000010000010000111100000010000010000010001100011100000101011001001100001110000010101100001001010000000000000000000000000000
|
||||
00000000000000000101010001000111001111001110000000100110000010101000010010100000000000000000110100001010100100001001110101001000
|
||||
11100001000000001110001000000010011100000100111001001000000000000000000000000000000000000000000000100101001000101110001000000100
|
||||
00000100101000000000000000000000010011010011000110001010110000100000000001000000001000110010001100010000101000011001000000100001
|
||||
10011000000110000001100000000000000000000000000000000000000100001100001101100100001101101000000101001001000010111000100000100001
|
||||
10000000001000110000110010000110010010001100101010100001010000010010010011100000000001100010001100011010001110000000001101010100
|
||||
10001011000001100100110010011101100100001110001001011100000100000000100010000000000000000000000000000000001000001101000010100000
|
||||
10010101001010000000000100110100101100011100101100000001001100000001100001011100101100101100101101101100101100011100110010111111
|
||||
01000010000010010010010001000000100110110100010110011110011010011000101100100110100010000010010100100010100110000100000000000000
|
||||
00000000000000000000000000000000000100011010011011011010001001100000000001000011001111001111001100000100111001000011001110001010
|
||||
11001001110001000101000111000001110011000010001101000110000011000010101001101110001000010000001001011000001000110100011111000000
|
||||
11011101101010001101001000100000100000100101001000011001110001000010000000000000000000000000000000000000000110010000101100001010
|
||||
00000000000000010010100100101110001100000100110000100110100010010000111000100011001111001011011000010001100010011101000000001100
|
||||
00101110011011000010100110011100011100001001000011100100110101001111000000100100111110000011001100100011011001000011001001000111
|
||||
10110100011011000010010101000000000000000000000000000000000000000000100100010000111010011010001000010100111000000000000000000010
|
||||
00100110001100011010000101101000001010010001011000110000110010011100010000101001011000011001111001000100011001100001100110000011
|
||||
01101010010001000011001111101000100100001110000101000010000011000010101001100000000000000000000000000000100111000000000000000000
|
||||
10010000111000010010001100000100011000000000101010000000000111001000000010001101011111000101101100000100010101001011000101001011
|
||||
01000010010101101000101010100101000011100100001100110010011110011010011010011001000101110100000010101101101000100010001000000000
|
||||
00000000000000010110100000000000000000001001101001010100011100110000001001100000000010000110011100000100111000100011100111100100
|
||||
01101000010000010011010011001101000010001100011001010010010010000100110100110101001001100100100011000110001001000010100110000000
|
||||
10011000100110010010101001100000000000000000000100010000000000000000000011011000000100001100000000001001011000110000000110110000
|
||||
00000001000010000010011111000010101001001001010100101011100010001000000011011000000000000110000101010011000100010010000111100010
|
||||
10100110010010010001110000110101110011010011110010101101001010110100011011101100011001000100100000000000000000000000000000000000
|
||||
00000000000010011110001011010011101101100000000010010101010100000010011100100100001001110101001001000101001110001010010000101010
|
||||
11001000101101000001101010000000001101001010100000100110000100000100000100110100111001000101000111001001000110011010000100100100
|
||||
00000000010000101000110000001010100000000000000000000000100011010011001001010001000000111000100000000000010001101000011000110000
|
||||
00100101100010100011100000111010000000011100000010110001001011000010101001000010001000111010000001001101000100010010110011110001
|
||||
11001101000101000001001011001101000000010100100101001010001000000010001100001001100000000000000000000000000000000000010001100011
|
||||
10010000000100110000000000110001010000000000001000000010011000100001110010001010010010001110010100000100011001000110110001100001
|
||||
00010011010000011000101100001010001000011101100000100001001000100110000101011001001100100110000000000100110000000000000000000000
|
||||
00000000000001100010010100000100111000001000110000010001000000010011000000100001001101000010110001001010001100010001011010100101
|
||||
00110000010000001001100001100110001100110010011110111011010001001101000101110110000110000111010100101011001011011101100000100111
|
||||
10011001001110100111100110111001000000000100110000000000000000000000000000000000000111010000011010100101011001100000110110100010
|
||||
11001100000000001001110000010011110110100000011000000111001011000011000111000010000110110000011001000101101100100100111001010101
|
||||
00011010101010010101001001000000010101011100001001001001000111001111001101001011000001100100110100001110110000000010001111001001
|
||||
01000000000000000000000000001000110001001110000000000000000010000110110010000010010010011110001100000000010000100001001111000011
|
||||
00010100101000000000100110100111110000101110000110010101100001010101010000010011100000101001010010101001110100010011001000011000
|
||||
01000110010010000010011010000010010111011000000000000000000000000000101011001000000000000000000000000001100100001100010101011100
|
||||
10000000000000100001000110010001000000000010000010001001001100100100010110000001000101001011000010000100011001010011011011000001
|
||||
00100100101101011100100111000000110000111001000011001101000101001110011001000010100111100011000000000001010100000000100110001100
|
||||
00011000000000000000000001001100100010110010000100000000000000101011010000001001110000000100101011010000101000100100000000000001
|
||||
00010001000010001001001001110001011011000001000100101011010001011000100011110010000001001000010001110011000000000000000000000000
|
||||
00000000000000000001101011111111100110001111111101000111111110100000000110011100101010000001111001001000000001010000111111011110
|
||||
10000110001001111100011000101000101000000010100000110011001111010101010110111011111110011110110011001101000011111110111101000011
|
||||
00010001110100010000100110010011111101110100101000101000000000000000000000000000000000000000000000100010111010000001001001010001
|
||||
10000011010001101010000000000011001110011100001100110011001100011110010011000110000001100100011111100110010010010100010100010101
|
||||
01101011111111010111111000101001110011001111110111111001011100010010011111100000011000100111101000101100100110001000111110011111
|
||||
00011101000111110110010010010100011111100001000110110100010010010011000000110100011101000111011101010010011000011111111111110000
|
||||
00000000000000000000000000000000000000000010001011101010100100100110101100101000110010010100000001100111111100010110101010100011
|
||||
01000100000000100010111111001111111011110101000111100010011000011001111001111111011101110111011001100111110111011110000111011010
|
||||
11111001110001100010001010111110111110111011001000101111001111011110011111111111101100100101000111111010010001011111100101110111
|
||||
11101000101101100100100010101110001111111110111001100010001100000000000000000000010011000000000000000000000000001101010101100110
|
||||
10000111100110011111011111100110011110011000000000011001111110101000000111101110100000001111110011101000011011001101111110110100
|
||||
11011110011001100110011100001110001001111101110111001101101011111001111001100001111110011111111001110000111101110111010000111101
|
||||
11111010001000011111100110111111011101111111010101000011000001111111111100011001111111110101000011111111101110011001100110000000
|
||||
00000000000000000000000000000000001100000011100100011100001000010010001000111011110000000011000000111011100000000000110000001110
|
||||
11100100111001100010011101110110000110011110001000011000100111001000000110000001110010010001111110001000010000000111000010000100
|
||||
00100100111001000010010011100000000000000000000000010000000000000000000000000000000100100100101000001000000001000100000000010010
|
||||
00100101010010110010101001000000000010010100000000000001000011001000100011000000101010000000100010000000000001010010000000001000
|
||||
01000000000000000000000001000011110000011010010000111000001011100000010100001000110010000100000000001000011101100000010010000010
|
||||
01111001010001000010000000100001100111000000100011000000010000100000000100011100111000000000001001010000010011010011000100111000
|
||||
00000000000000000010000101110000100100111000011100001000000000101001000000100110010001100101001010010100100111010011000101010100
|
||||
01110001100000000000001001100000000100111010011000000000000000000100110100011000000100001000000000000000000000000110100000110000
|
||||
10001000011000000000010000000000000000100000010010000010000000010010000100001010011000000000000011001000010001001110000001001000
|
||||
00001001100000000000010010010100100000000000000000000000000000000000000001001010010010101101011000001000100000000100100000001100
|
||||
11100000000000010000010010100000000000000001000110100001000011001101010000000000000000000000000001100110100000100010000000000000
|
||||
00000000000000010010010000111100001011001000001000011100100101000010000100000010001000001000111000100011000000110100001100110100
|
||||
01100001000000000000000100001010001101001110000000000000000000000000000001100111100000010001000000000000000000010101000000000011
|
||||
10000100001001100010010101010010001001010100101100010000010011000100101100110010101000011010000101001100000110100110000000000000
|
||||
10011110011111010000010000100011000000000000000000001100001111000000010000010000000000000000000000000000000010000010000100001000
|
||||
00100001000000000100111001001110001000001000010011000000110100000100010111001000000010000010000100000000000100001011000101000000
|
||||
10011000000000000000000000000000010000100000000000000000000000001100000011100011100011110001000111011001011010101000011001001000
|
||||
11100010100110100111001100001010001000110001001110001000101000011110010010111001000001100100010111000100010000001001110001011000
|
||||
10010100100010000000001110010000010000001000110100110000110001100010011100000000100010001100011000000000000000000110011010011000
|
||||
11001000000000000000000000001000000100110110001001010011001000011001101001111001001000001001010000001110100000010110110000001001
|
||||
10100000000001100000011010010111000101001000001100001100100011001101100000110100101011100001001000110000010000000100001100111010
|
||||
00001001000110001000111011000001001000110001001001000010100011100011000001011000001000000000000000000000011001111101110010000010
|
||||
10110000000000000000000000100011101010100101100010001000111001011000101001000000110011100010011000010011010000110011110010000000
|
||||
10011001011111101000010100111010000010011001000111000111100100001100101001100000011100111000001000000110001010010101000001110000
|
||||
10001010011001000111001000010011110011000000000000000000011000011110000000000000000000000000000000010000001000101000000010110001
|
||||
10100001011010000101110001100000010110010001000100011000000100111010001101001001000111101000100101110111010000000100101100001100
|
||||
01110011010111100000000010010110011010011111100001000110001011001001001001110100101100001100000000000010011010000000100000000000
|
||||
00000000011001111010000000000000000000000000110000110010011011010010011000001100000011010000001000111100010010110110010110100110
|
||||
00000100011110000011010001010010100000010001000000001100100100010011000100000100011001001000100101100111010001100100110000001001
|
||||
11010000100100010001000011001100000000000000010000000001100001111000000000101110000000000000000000000000010001110011010011111000
|
||||
01010000101111000001001001000101110010000111001000010011001001000001100100010010010000010001011100001011000011011101000111000111
|
||||
00110011011000011101101001111001100000100001100000010011100100011000010001101100101010010101100101111110100100100000000000100110
|
||||
00001001100000000010010111100100000000000000101010010000110011100000000010001110011100100110010001110001000010100100100101100000
|
||||
00001000100010001110000110000110011100010001101000111000001001101001010100001010000010011000100100001110001000101010000000100011
|
||||
01011001101100001001000101001110101111100100000000000000000000000000000000000000000000000001001111001111100001010111000010010000
|
||||
00001010010001000010100010010101101001010000100110110010010001000100001000000110100000110011110001101001010101100100011010011000
|
||||
10000111011000000000000001001111001011100011000000100011110100101010010011100100000000000001000110000110000101000000000001000110
|
||||
00000000000000000000100111000010010011000100011100100100110001100100100011100011100001110110000000010001010010100000000100000100
|
||||
01010001101000101011111001011000101011011010011101010101000101001100101000000010101000000000100000110010001010011010010101000001
|
||||
00000110000011000000000000000000000110000111100000001000110000000000000000010001000000100101110100010011001000011001100100110100
|
||||
10110000110001100011100010001000011000010000100010000000001000010101100100111010010010101110010101101000001010011010001100000001
|
||||
00111100111000001010101000101001001001000100111110001100010101110011000000100010100011001001000000000000000000010000000100001100
|
||||
11100001010110000000000101101010000001000010100100100010111000100010011101000011100010100011011000011001110001101000010100111000
|
||||
10001001100111000110001001000011001000101000011001101001101001110010001110010011010110001001010010000110010100000000010011011000
|
||||
10100111000100011010011000110001010010000110001011001000101011101001110100010000000100011000000000000000010001100100110001000010
|
||||
00000000000000000000100011110000101010011100011011000101001010001001101000111001000001000111001100000010011100100011100100100111
|
||||
10011010000010000110000100100001110100000100000011100100000100100100010000011000100101000111000011000000111000000100110100000101
|
||||
11000001000100000000101111000000000000110000111101001110001001000100110000000000000000000010010101011001001111101001001000101100
|
||||
10000101111000001100101001100010010100010100111000010011000001010010001000011000001000011001100100101010000101001100000000001000
|
||||
01011011000000000100100011010000011001010100011010011000000001000100011000001100001001110000000001001100001000100000000000000000
|
||||
00000010011110011010000110001100010011010100100001001111001111110010000001001110101100010010100010000101000111001010100011110010
|
||||
01000010011101100011000101100100100000000100110000100101001001100110010010010000011100100000010011010011011001001000001001100000
|
||||
00010001000100011000000000000001001000000000000000000000110100010010011010001111100100011101000010010010001000111000101101001010
|
||||
10010010010110000110000100100001000011010110001001000010000110000001001011000001000001101000001101001001100010100011001000101001
|
||||
01100010000000000100000010100101000001001101001000111000010001100010101010110001001010010001000010011000010000100000000000100100
|
||||
00001110010000000000000000000000100000100110100011110100001010010001000000011010000101101000001010000000110010010001010110101110
|
||||
01000001101001010100001100111000100100010000110010001101000100100000100011100000100001010001011001010101010111001110000010001100
|
||||
01001010101110101011100011101101100110100100111000100101000111001101000001110001000001011000001001001001000000000000000001011110
|
||||
00000000000000000000000001110100000011011101101010000110000111111110011000110011101111101110110111101110111001000100111000101110
|
||||
10001001101110101010000111111110001100001111001010001111111100100110111011111110100001100110011101000010100010100010000110100010
|
||||
10000011110011110000000010000101101000100111010101001100001111111100111100101000011111111101100001111000000011111110100000000000
|
||||
00000000010011100000000000000000000000001001000100100110111011111111110110111110111011101010101101100000101000111011101110001111
|
||||
00010001110010001010111011100010111111110000110010110000001100001100100011110101010101100010100101000111111110010101010100010011
|
||||
11001000111111101110001111111101111111101111110001010011001111111110111111100011011110011110000000001000111101110111111010001010
|
||||
01001100001101101000100011111100110011111111011001001010001010001000110000001111111010000000000000000000000000000000000000000000
|
||||
00011101100011010111001001100010001111001100111001011001100110011110101010111001101000110100010010001111000000111111101110100101
|
||||
10111011100110011100001100110111011100011100100000111000100001001001101100110111101110110001111101110111111000100100101110111111
|
||||
11111011101110000110010000101011101111110110111111100100111011101110010100000000110000011011001100111010000101000111011111101110
|
||||
10111110000010010001010000000000101000000000000000000000000000000000000000000000000111010000011110100001000011111101110010011011
|
||||
00010011110101010110000111001100111111011100100110111100111111111011100110011111110100010011011011101110001110011111000100001110
|
||||
11001101111011101100110111011101110111101010001111011101101011110011001111101011001000011111111110110011000100111011001100000000
|
||||
10000001010001101011111011101111111111011111011001011110100001111001100111101111110000000111111101100000000000000100110000000000
|
||||
00000000000000010000000111000010000110000001110010010001110111100110001000101100001100101111001100010011101110000010000000110000
|
||||
01100011000100010110000110010111100110001001110111011000011001111000111000011000011001011110000000011000000111001000100111001000
|
||||
00001110000100000000001000000000000000000000000001001100001011010000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000010100100001001110010000110111100000000000000000000000101100000001001110000010010100000000
|
||||
10001100000000000001000010000000010001100000010001100000001000011001110000100001100111000010000110011100000000000011111000000000
|
||||
00000000000000000000000000100001000000000000000000000000000010100100100111010001100000000000000000000010011100000100111000001001
|
||||
11000000010011010011000000001000000000000000000000000000000000011100000010000010000000000000010011000000000000000001001100000010
|
||||
01010000001001010000000100000000001000000000010000000000000100100100100000000000000000000000000000000100011000001000000000000010
|
||||
00110000000000000000001001110000000000000100100000000000000000000100010000000000000010010000000001100011100100011010000110010100
|
||||
00000000000000000000001001010000100010000000001001100000000000000000000000000000000000000000000000000100001100111010011100100001
|
||||
10011100000000000110001110010011100100111000000000000000000010101000001001111010010000000000001010010000000000000000000000000000
|
||||
00000000000000000000001001110010011100100111000000001001011100001111000001100100010100111010000000000000000000000000000010000100
|
||||
00010001110011100000000000000000000000001000100000000000000100000000000000000000001000000010000100100000000000000000000001000010
|
||||
00000000000000000000000010010001110000001001001100100000100101010000100100100100100001001000000000000000001001101001100100001000
|
||||
00001001110000100101000000000000000000000000000000000000011000111001100011001000001100000011000000000000000001000000111000010010
|
||||
00111100101101111001000011100100100100111110010010010110011011000000001100001010100110000000000100011000000100111010001100000000
|
||||
10001000010000000000000000000000000000100000000010001000000000000110001110010011100000000000000000000000101010100011010000000000
|
||||
10110010011110011100100101110001010000000000001001010000010001010000100000000000000000000000000000000001010010000000000000000110
|
||||
00011110010010101000011001110000000000000000000000100111011001110001110000001111001010001000011000100110000101010001110011100100
|
||||
00010011001001100000000000000000111010001101000000000000000001000110000000000000000000011000000110000001001110000000000001100111
|
||||
10000000000000000000000000001000100100001110000101011011000001001011110010000011101000011000011001001001100100101010000110001100
|
||||
00000000000000000000000000000000000000000000000000000000000000000110000111100000001011100000000000000000000000001110010000000000
|
||||
10001001000111001111000110010011110010010011000000000000000000000000100010000000000000000000000000000001000100000000000000000000
|
||||
01001011001010000000000000000000000001110010010000100011100100100110010001110001010011010011001000100011100011010000001001100000
|
||||
00000000000001001110000010101000000000000000001000101001110000000101001000000000000000000010011100000000000000000000000000110010
|
||||
01011111000101100111010010101000001101100001100101100011010001101011010000000100100000000000000000000000000010111000110000001100
|
||||
00000000000000001001110000000000000000000011110010000000000100000000000000000000000110001000111100100001001100001000001001111001
|
||||
10001001000100110100010100111000000000000000000000000000000000001001000000001000000000000100010010011100010001001001110100000000
|
||||
00110000111100000000000000000010001100000000000000110001010010000010010010000110101001110100100101100001011010100000100001000000
|
||||
00010010000000000001000010000000000000000000000000000100001100101010011001000110001001100100011000000000000000000010000100010010
|
||||
10000000000000000001110000001100111001001001000011001001001011000011100001110000000000100101000000000010001000000000010110100000
|
||||
01001010000100010010001000000101101101001100011010011011110010101011011010011000110100110101001100010000000000000000000000000000
|
||||
00000000000001011011101001010001010111000100110000101010101100001001010000000000000101011000000000000000000000000000001001110000
|
||||
00001001000110010001001001010100100010010100000001100001111000000011111000000010111001000100000000000000000000100011010110001001
|
||||
10000110001100110011100100011000110110000000000000000000000000000000000000000000000000000100111000100010010011100010001001001110
|
||||
00000000001001110100011100010100111100110100111000000000000000000000100101100101000010010110001100000000000000000000010000010111
|
||||
10000000000000000000000000000100110010001101000010100110010001110000000100110000000000000010010100001000110000000000000000000100
|
||||
11110011110000010011001000011000100100000001000011001000000000000000000000000000100010000000000101100000000000001000100100011010
|
||||
01100100010101001100011011010001001001111001000100011010001010011100000000000000001100011000010011010110101110001000000000000000
|
||||
00000001001100100110110000101011100010000100110100111110010010001001110100000010101000000000000000000100000010101100010010100101
|
||||
01100000000000000000001001110010010100010010001001010001001000100101000000000000001110010000000000000000000000000000111111000011
|
||||
00010011011001100111010000110000111111110011110111100110011101110110011000100010111111101111111100000000000000000000011110111010
|
||||
00100001101000000000000000000000000010010011011111111111111110110100100111101111111111111101111001001011011111111111111110110100
|
||||
10011110111111111111110111100100101101111111111111111010100100000000000000000000000000000000000000010100010100010010011001100011
|
||||
11111101110001111111100001111011111100100110101000111101110110011000100011001001111111011010101000000000000000001111011101100011
|
||||
00100100101000000000000000000000000010010011011111001100111110110000110111101111001100111101111011000011011111001100111110110000
|
||||
11011110111100110011110111101100001101111100110011111010001100000000000000000000000000000000000000011111111101101001100011111011
|
||||
11110001100101011111111001111010100011111111001000110110010001001000111100001111100011000000000000000011111011000001001001010000
|
||||
00000000000000000000010010011111111101100111110110000110111101111001100111101111011000011011111001100111110110000110111101111001
|
||||
10011110111101100001101111100110011111110001100000000000000000000000000000000000000111010101011010011000111110111110110001011111
|
||||
10000111101110111111111101110010011001100100010001100001111100110000000000000000011110111110001000010000000000000000000000010000
|
||||
11100111111111111111011010000011110111111111111110111100000101101111111111111111011010000011110111111111111110111100000101101111
|
||||
11110100000000000000000000111101000000000000000000000000000100000001001110010000000100111000001001110010010000000000000000000000
|
||||
10110100000000000000000000000100010110110100010000011110100111001001000111111000100110110100010000011110100111001001000111111000
|
||||
10011011010001000001111010000000000000000000000000000000000000000000010000100000000000100101100010111000010000000000010010110000
|
||||
00000010000100000000000100010000000000000000000000000000000000000101001100101000001001100010011100000000000000000000001000010000
|
||||
00000001000010010000000000000100001010000100000000000001000110001000001000111000110000100011100011000000000000000000000000000001
|
||||
00100000001101100000000000000000000000000000001100100100000000000000010011110011100000000010000000000100000000000000000100101000
|
||||
00100101000000000000000000000000000001001101000110000000001110010000010000000000000000000000000000000000000000100000100101100001
|
||||
10000100000000100000100101100001000000000000010011000000100101000001001010000000000000000000000000000100100100110000000000000000
|
||||
00000000000000000000000000000000010010100000011000001100000100101000000100001000000000000000000000000000000000000000000000000000
|
||||
01100111100000100111000000000000000000000010000100000000000100001000100110000010011100100001000000000000000000000000000000000000
|
||||
00000000000000000000000001100111100100111000000000000000000000000001001110000000000000000001000000000000000010000000000000000000
|
||||
00000000000000000000000000000000000011000011110001000011001110100000000000000000000000000000000000000001000001001010010001100000
|
||||
00100000100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101000001100001
|
||||
10010101001110100000000000010010001000100100111000001000010000000000000000010000100000000000000000000000000000000000000001100111
|
||||
10011000100010000000000000000000000010000000000100001000000000011000000110000001000010010010101001000000000000000000000000000000
|
||||
00000000000000000000000000000000011001111000000000000000000000000000000001001110000010001110011010010100110110000000000000010001
|
||||
10100110000000100101000000000000010001010011100000000000000000000000000000000011000011110000000000000000000000000000000100001000
|
||||
00010010110010001111000100000000000100111010010101001000000010000010010000000000000000001000000000000000000000000000000000000000
|
||||
01100111100000000000000000000000000000000001000110000000000000010000100100101000000001000010000000000000000000000000000000000000
|
||||
00000000000011000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000010010100101101001010110000000010000000100100000000000000000000000000001011010000000000
|
||||
00000000000000000000000000100111000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000
|
||||
00000000000000000000000000111100100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000011000011110001001000000000000010001100000000000100011000000000000000000000001000111001010000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000100101000000000000000000000001001010000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000100101000000000000000000000000010001000000000001001110000101011010
|
||||
11010000000000000000000000000000000000000000000000000000110000111100000000101111000000000000000000000000010001110001001010010000
|
||||
00000001001100000000001001000101011000000000000000000000000000000000000000000000000000000000000000100111001101100100010100100000
|
||||
00000000000000000000000000100101010001100000000010010110111011000101000000000000000000000000000100001100111000000000000000000000
|
||||
00000000000000000000000100111000000000000000000001001011101101000000000001000001011000100010000000001100000011100100010001100000
|
||||
00000000000000000010011000000000000000000000000000000000000000000010010000100010010001100000000000000000000000000000000011011000
|
||||
00011010110000000000000011101000000000000000000000000001000110000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110010000000000000000000010010000000
|
||||
00000000000000000000000000100000000000000000000000000000000001001010000000000000000000000000000110000111100000000010010000000000
|
||||
00000000000000000000000000000000000000000000000000000010011100000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000001001
|
||||
10000000000000000000000000000000010001000000000000000000000000000000100100000000000000000000000000000011000011110011110000000000
|
||||
00000000000000000000010001110001100000000100000000010010000000001001110110100010001001100000000000000000000100000000000100110000
|
||||
00000000000000000000000000000000001001110011011010000101001000000000000000000000100100000000000100101011001001000100111000000010
|
||||
01010000000000000000000000000000001001000000000000000000000000000000000000000100010000000000000000000000010010110001100000000010
|
||||
11100101001010010000000010000010010001001100100011000000000000000000010001001001100000100110000000000000000000000000000000000000
|
||||
00100100000011101000000000000000000000000001001000000000000000100111000000000100010011100010000000000000000000000001000100000100
|
||||
10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000001000010000000000000000010
|
||||
00010000010000100000000000000000000000000000000000000000000000000000000000000100001000001100110010000000000000000001000010000010
|
||||
11100000000000000000011001100100000011001100100000000000000000010000100000100001000000000000000001000010000010000100000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000001000010000000000000000000000010000100000000000000000100001000001000010000000000000000000000000000000000000000000000000
|
||||
00000000000001000010000011001100100000000000000000010000100000101011000000000000000001100110010000001100110010000000000000000001
|
||||
00001000001000010000000000000000010000100000100001000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000010000000000000100000111000010011100010001010011001011000010
|
||||
00000100000111000010011100010001010011001011000010000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000010011000000000001110011010111110100100100010000000011100110101111101001001000100000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000010000011100000101000000000000001000001110000010111010000110011000000000100000
|
||||
11100000101110100001100110000000000000000000000100111000000000000000000000000000000000000000000000000000000000000010000001001111
|
||||
00100000000001000001000101110000001110101000000000000100000100010111000000111010100000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000011000100011101000001100110000000011000001101100011000100000111111100111111000000000
|
||||
00011000001101100011000100000111111100111111000000000000000000000000001100010100100010000000000000000000000000000000000000000000
|
||||
00000000000000000000011000100011101000001100110000000011000001101100011000100000111111100111111000000000000110000011011000110001
|
||||
00000111111100111111000000000000000000000000100001100011100011100111100111000000000000000000000000000000000000000000000000000100
|
||||
11100000000000000000100111000000000000001000001100010001110100000110011000011100010001110100000111010000000100000111001100111111
|
||||
00001111110000000000000000001100000110110001100011000110011110000001100111000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000001110001000111010000011101000000010000011100110011111100001111110000001000011011101100011001111100
|
||||
00011111000000000000000000011000001101100011000110001100111100000011001110000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000001001110000000000001000110100111100011010011100000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000001001100000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000001001110001000110010001101001111000110100111100011010011110010010011100000000000100000100011
|
||||
10010011100000011000111001001001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000100110100111000000000001000011000110111000010010001110011010011100000000010010100000000000000011100010100000000000010111001
|
||||
10010011111111111111111111111111111111111111111111111111111111111111111111000010100000000000000000000000000000000000000000000000
|
||||
00000000001010101010011100100010000000000000000000000000010000000000000000000000000000001111111101011110000000000000000000000000
|
||||
00011100001000100000100101000000010000011100001001110001000101001100101100001000000100000111000010011100010001010011001011000010
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000111001001010011000000000001110011010111110100
|
||||
10010001000000001110011010111110100100100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00010000011100000101000001001100000000010000011100000101110100001100110000000001000001110000010111010000110011000000000000000000
|
||||
00001001110000000000000000000000000000000000000000000000000000000000000000100000100010100111100100000000001000001000101110000001
|
||||
10101100000000100000100010111000000110101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000110001000111010000011001100000000110000011011000110001000001111111001111110000000000001100000110110001100010000011111110011
|
||||
11110000000000000000000000000011000101001000100000000000000000000000000000000000000000000000000000000000000001100011001111110000
|
||||
11111100000000000011000001101100011000100000111111100111111000000000000110000011011000110001000001111111001111110000000000000000
|
||||
00000000100001100011100011100111100111000000000000000000000000000000000000000000000001001110000000000000000010011100000000000000
|
||||
10000011000100011101000001100110000111000100011101000001110100000001000001110011001111110000111111000000000000000000110000011011
|
||||
00011000110001100111100000011001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000100
|
||||
01110100000111010000000100000111001100111111000011111100000010000110110011000110011111000001101111000000000000011000001101100011
|
||||
00011000110011110000001100111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010
|
||||
01110000000000001000110100111100011010011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000001001100000000000000000000000000000000000000000000000000000000000000000000000000000000000010011100010
|
||||
00110010001101001111000110100111100011010011110010010011100000000000100000100011100100111000000110001110010010011100000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101001110000000000010000110001101110000
|
||||
10010001110011010011100000000010010100000000000000011100010100000000000001000100110110111111111111111111111111111111111111111111
|
||||
11111111111111111111111111000010100000000000000000000000000000000000000000000000000000000010101010100111001000100000000000000000
|
||||
00000000010000000000000000000000000000001111111111111111111111111111111111111111111111111111111101011110000000000000000000000000
|
||||
11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
*
|
||||
NOTE END CONFIG DATA*
|
||||
L47744
|
||||
L47488
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
@@ -1425,10 +1426,10 @@ L171648
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
|
||||
*
|
||||
C374D*
|
||||
C1984*
|
||||
NOTE FEATURE_ROW*
|
||||
E0000000000000000000000000000000000000000000000000000000000000000
|
||||
0000010001100000*
|
||||
NOTE User Electronic Signature Data*
|
||||
UH00000000*
|
||||
00B7
|
||||
0872
|
||||
|
||||
@@ -15,7 +15,7 @@ Target Vendor: LATTICE
|
||||
Target Device: LCMXO2-640HCTQFP100
|
||||
Target Performance: 4
|
||||
Mapper: xo2c00, version: Diamond (64-bit) 3.12.1.454
|
||||
Mapped on: 09/21/23 05:39:43
|
||||
Mapped on: 11/18/23 02:05:52
|
||||
|
||||
Design Summary
|
||||
--------------
|
||||
@@ -27,12 +27,24 @@ Design Summary
|
||||
SLICEs as Logic/ROM: 120 out of 320 (38%)
|
||||
SLICEs as RAM: 0 out of 240 (0%)
|
||||
SLICEs as Carry: 10 out of 320 (3%)
|
||||
Number of LUT4s: 237 out of 640 (37%)
|
||||
Number used as logic LUTs: 217
|
||||
Number of LUT4s: 238 out of 640 (37%)
|
||||
Number used as logic LUTs: 218
|
||||
Number used as distributed RAM: 0
|
||||
Number used as ripple logic: 20
|
||||
Number used as shift registers: 0
|
||||
Number of PIO sites used: 63 + 4(JTAG) out of 79 (85%)
|
||||
Number of PIO sites used: 64 + 4(JTAG) out of 79 (86%)
|
||||
Number of IDDR/ODDR/TDDR cells used: 1 out of 237 (0%)
|
||||
Number of IDDR cells: 0
|
||||
Number of ODDR cells: 1
|
||||
Number of TDDR cells: 0
|
||||
Number of PIO using at least one IDDR/ODDR/TDDR: 1 (0 differential)
|
||||
Number of PIO using IDDR only: 0 (0 differential)
|
||||
Number of PIO using ODDR only: 1 (0 differential)
|
||||
Number of PIO using TDDR only: 0 (0 differential)
|
||||
Number of PIO using IDDR/ODDR: 0 (0 differential)
|
||||
Number of PIO using IDDR/TDDR: 0 (0 differential)
|
||||
Number of PIO using ODDR/TDDR: 0 (0 differential)
|
||||
Number of PIO using IDDR/ODDR/TDDR: 0 (0 differential)
|
||||
Number of block RAMs: 0 out of 2 (0%)
|
||||
Number of GSRs: 0 out of 1 (0%)
|
||||
EFB used : Yes
|
||||
@@ -48,29 +60,29 @@ Design Summary
|
||||
Number of DCMA: 0 out of 2 (0%)
|
||||
Notes:-
|
||||
1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of
|
||||
distributed RAMs) + 2*(Number of ripple logic)
|
||||
2. Number of logic LUT4s does not include count of distributed RAM and
|
||||
ripple logic.
|
||||
Number of clocks: 4
|
||||
Net PHI2_c: 20 loads, 9 rising, 11 falling (Driver: PIO PHI2 )
|
||||
Net RCLK_c: 47 loads, 47 rising, 0 falling (Driver: PIO RCLK )
|
||||
Net nCRAS_c: 9 loads, 0 rising, 9 falling (Driver: PIO nCRAS )
|
||||
Net nCCAS_c: 8 loads, 0 rising, 8 falling (Driver: PIO nCCAS )
|
||||
Number of Clock Enables: 5
|
||||
Net N_178: 1 loads, 1 LSLICEs
|
||||
Net XOR8MEG18: 5 loads, 5 LSLICEs
|
||||
Net N_360_i: 2 loads, 2 LSLICEs
|
||||
|
||||
Page 1
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 09/21/23 05:39:43
|
||||
Design: RAM2GS Date: 11/18/23 02:05:52
|
||||
|
||||
Design Summary (cont)
|
||||
---------------------
|
||||
Net un1_wb_rst14_i_0: 9 loads, 9 LSLICEs
|
||||
distributed RAMs) + 2*(Number of ripple logic)
|
||||
2. Number of logic LUT4s does not include count of distributed RAM and
|
||||
ripple logic.
|
||||
Number of clocks: 4
|
||||
Net PHI2_c: 20 loads, 9 rising, 11 falling (Driver: PIO PHI2 )
|
||||
Net RCLK_c: 48 loads, 48 rising, 0 falling (Driver: PIO RCLK )
|
||||
Net nCRAS_c: 9 loads, 0 rising, 9 falling (Driver: PIO nCRAS )
|
||||
Net nCCAS_c: 8 loads, 0 rising, 8 falling (Driver: PIO nCCAS )
|
||||
Number of Clock Enables: 5
|
||||
Net wb_cyc_stb_2_sqmuxa_i_0_0: 1 loads, 1 LSLICEs
|
||||
Net XOR8MEG18: 5 loads, 5 LSLICEs
|
||||
Net N_126_i: 9 loads, 9 LSLICEs
|
||||
Net N_261_i: 2 loads, 2 LSLICEs
|
||||
Net CmdUFMData_1_sqmuxa: 1 loads, 1 LSLICEs
|
||||
Number of LSRs: 5
|
||||
Net RA10s_i: 1 loads, 0 LSLICEs
|
||||
@@ -80,16 +92,16 @@ Design Summary (cont)
|
||||
Net RASr2: 2 loads, 2 LSLICEs
|
||||
Number of nets driven by tri-state buffers: 0
|
||||
Top 10 highest fanout non-clock nets:
|
||||
Net InitReady: 41 loads
|
||||
Net FS[11]: 23 loads
|
||||
Net InitReady: 40 loads
|
||||
Net FS[13]: 22 loads
|
||||
Net FS[10]: 21 loads
|
||||
Net FS[12]: 21 loads
|
||||
Net FS[9]: 20 loads
|
||||
Net FS[14]: 18 loads
|
||||
Net CO0: 15 loads
|
||||
Net FS[11]: 21 loads
|
||||
Net FS[12]: 19 loads
|
||||
Net FS[14]: 19 loads
|
||||
Net FS[10]: 18 loads
|
||||
Net FS[9]: 17 loads
|
||||
Net Ready: 14 loads
|
||||
Net Ready_fast: 14 loads
|
||||
Net N_214: 13 loads
|
||||
Net CO0: 12 loads
|
||||
|
||||
|
||||
|
||||
@@ -114,6 +126,16 @@ IO (PIO) Attributes
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| IO Name | Direction | Levelmode | IO |
|
||||
| | | IO_TYPE | Register |
|
||||
|
||||
Page 2
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 11/18/23 02:05:52
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RD[0] | BIDIR | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
@@ -126,16 +148,6 @@ IO (PIO) Attributes
|
||||
| RDQMH | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRCAS | OUTPUT | LVCMOS33 | OUT |
|
||||
|
||||
Page 2
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 09/21/23 05:39:43
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRRAS | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
@@ -143,6 +155,8 @@ IO (PIO) Attributes (cont)
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RCKE | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RCLKout | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RCLK | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nRCS | OUTPUT | LVCMOS33 | OUT |
|
||||
@@ -178,6 +192,16 @@ IO (PIO) Attributes (cont)
|
||||
| RA[4] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RA[3] | OUTPUT | LVCMOS33 | |
|
||||
|
||||
Page 3
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 11/18/23 02:05:52
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RA[2] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
@@ -192,16 +216,6 @@ IO (PIO) Attributes (cont)
|
||||
| LED | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nFWE | INPUT | LVCMOS33 | |
|
||||
|
||||
Page 3
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 09/21/23 05:39:43
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| nCRAS | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
@@ -244,6 +258,16 @@ IO (PIO) Attributes (cont)
|
||||
| MAin[9] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| MAin[8] | INPUT | LVCMOS33 | |
|
||||
|
||||
Page 4
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 11/18/23 02:05:52
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| MAin[7] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
@@ -258,16 +282,6 @@ IO (PIO) Attributes (cont)
|
||||
| MAin[2] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| MAin[1] | INPUT | LVCMOS33 | |
|
||||
|
||||
Page 4
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 09/21/23 05:39:43
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| MAin[0] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
@@ -279,7 +293,6 @@ Block GSR_INST undriven or does not drive anything - clipped.
|
||||
Signal nCRAS_c_i was merged into signal nCRAS_c
|
||||
Signal RASr2_i was merged into signal RASr2
|
||||
Signal XOR8MEG.CN was merged into signal PHI2_c
|
||||
Signal GND undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/VCC undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/GND undriven or does not drive anything - clipped.
|
||||
Signal FS_s_0_S1[17] undriven or does not drive anything - clipped.
|
||||
@@ -311,6 +324,16 @@ Signal ufmefb/I2C2SDAOEN undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/I2C2SDAO undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/I2C2SCLOEN undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/I2C2SCLO undriven or does not drive anything - clipped.
|
||||
|
||||
Page 5
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 11/18/23 02:05:52
|
||||
|
||||
Removed logic (cont)
|
||||
--------------------
|
||||
Signal ufmefb/I2C1SDAOEN undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/I2C1SDAO undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/I2C1SCLOEN undriven or does not drive anything - clipped.
|
||||
@@ -324,16 +347,6 @@ Signal ufmefb/PLLDATO5 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/PLLDATO6 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/PLLDATO7 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/PLLADRO0 undriven or does not drive anything - clipped.
|
||||
|
||||
Page 5
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 09/21/23 05:39:43
|
||||
|
||||
Removed logic (cont)
|
||||
--------------------
|
||||
Signal ufmefb/PLLADRO1 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/PLLADRO2 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/PLLADRO3 undriven or does not drive anything - clipped.
|
||||
@@ -354,7 +367,6 @@ Signal N_1 undriven or does not drive anything - clipped.
|
||||
Block nCRAS_pad_RNIBPVB was optimized away.
|
||||
Block RASr2_RNIAFR1 was optimized away.
|
||||
Block XOR8MEG.CN was optimized away.
|
||||
Block GND was optimized away.
|
||||
Block ufmefb/VCC was optimized away.
|
||||
Block ufmefb/GND was optimized away.
|
||||
|
||||
@@ -378,6 +390,16 @@ Embedded Functional Block Connection Summary
|
||||
I2C Function Summary:
|
||||
--------------------
|
||||
None
|
||||
|
||||
Page 6
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 11/18/23 02:05:52
|
||||
|
||||
Embedded Functional Block Connection Summary (cont)
|
||||
---------------------------------------------------
|
||||
SPI Function Summary:
|
||||
--------------------
|
||||
None
|
||||
@@ -391,16 +413,6 @@ Embedded Functional Block Connection Summary
|
||||
Available General
|
||||
Purpose Flash Memory: 191 Pages (191*128 Bits)
|
||||
|
||||
Page 6
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 09/21/23 05:39:43
|
||||
|
||||
Embedded Functional Block Connection Summary (cont)
|
||||
---------------------------------------------------
|
||||
|
||||
EBR Blocks with Unique
|
||||
Initialization Data: 0
|
||||
|
||||
@@ -436,18 +448,6 @@ Run Time and Memory Usage
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
@@ -6,7 +6,7 @@ Performance Grade: 4
|
||||
PACKAGE: TQFP100
|
||||
Package Status: Final Version 1.39
|
||||
|
||||
Thu Sep 21 05:39:54 2023
|
||||
Sat Nov 18 02:06:05 2023
|
||||
|
||||
Pinout by Port Name:
|
||||
+-----------+----------+---------------+-------+-----------+-----------+------------------------------------------------------------+
|
||||
@@ -55,9 +55,10 @@ Pinout by Port Name:
|
||||
| RA[8] | 65/1 | LVCMOS33_OUT | PR5A | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[9] | 62/1 | LVCMOS33_OUT | PR5D | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RBA[0] | 58/1 | LVCMOS33_OUT | PR6C | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RBA[1] | 60/1 | LVCMOS33_OUT | PR6A | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RBA[1] | 47/2 | LVCMOS33_OUT | PB14B | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RCKE | 53/1 | LVCMOS33_OUT | PR7B | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RCLK | 63/1 | LVCMOS33_IN | PR5C | | | CLAMP:ON HYSTERESIS:SMALL |
|
||||
| RCLKout | 60/1 | LVCMOS33_OUT | PR6A | | | DRIVE:24mA SLEW:FAST |
|
||||
| RDQMH | 51/1 | LVCMOS33_OUT | PR7D | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RDQML | 48/2 | LVCMOS33_OUT | PB14C | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RD[0] | 36/2 | LVCMOS33_BIDI | PB10A | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
@@ -134,7 +135,7 @@ Pinout by Pin Number:
|
||||
| 42/2 | RD[6] | LOCATED | LVCMOS33_BIDI | PB12C | | | |
|
||||
| 43/2 | RD[7] | LOCATED | LVCMOS33_BIDI | PB12D | | | |
|
||||
| 45/2 | unused, PULL:DOWN | | | PB14A | | | |
|
||||
| 47/2 | unused, PULL:DOWN | | | PB14B | | | |
|
||||
| 47/2 | RBA[1] | LOCATED | LVCMOS33_OUT | PB14B | | | |
|
||||
| 48/2 | RDQML | LOCATED | LVCMOS33_OUT | PB14C | SN | | |
|
||||
| 49/2 | nRWE | LOCATED | LVCMOS33_OUT | PB14D | SI/SISPI | | |
|
||||
| 51/1 | RDQMH | LOCATED | LVCMOS33_OUT | PR7D | | | |
|
||||
@@ -144,7 +145,7 @@ Pinout by Pin Number:
|
||||
| 57/1 | nRCS | LOCATED | LVCMOS33_OUT | PR6D | | | |
|
||||
| 58/1 | RBA[0] | LOCATED | LVCMOS33_OUT | PR6C | | | |
|
||||
| 59/1 | RA[11] | LOCATED | LVCMOS33_OUT | PR6B | | | |
|
||||
| 60/1 | RBA[1] | LOCATED | LVCMOS33_OUT | PR6A | | | |
|
||||
| 60/1 | RCLKout | LOCATED | LVCMOS33_OUT | PR6A | | | |
|
||||
| 62/1 | RA[9] | LOCATED | LVCMOS33_OUT | PR5D | PCLKC1_0 | | |
|
||||
| 63/1 | RCLK | LOCATED | LVCMOS33_IN | PR5C | PCLKT1_0 | | |
|
||||
| 64/1 | RA[10] | LOCATED | LVCMOS33_OUT | PR5B | | | |
|
||||
@@ -238,9 +239,10 @@ LOCATE COMP "RA[7]" SITE "75";
|
||||
LOCATE COMP "RA[8]" SITE "65";
|
||||
LOCATE COMP "RA[9]" SITE "62";
|
||||
LOCATE COMP "RBA[0]" SITE "58";
|
||||
LOCATE COMP "RBA[1]" SITE "60";
|
||||
LOCATE COMP "RBA[1]" SITE "47";
|
||||
LOCATE COMP "RCKE" SITE "53";
|
||||
LOCATE COMP "RCLK" SITE "63";
|
||||
LOCATE COMP "RCLKout" SITE "60";
|
||||
LOCATE COMP "RDQMH" SITE "51";
|
||||
LOCATE COMP "RDQML" SITE "48";
|
||||
LOCATE COMP "RD[0]" SITE "36";
|
||||
@@ -269,5 +271,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Thu Sep 21 05:39:58 2023
|
||||
Sat Nov 18 02:06:08 2023
|
||||
|
||||
|
||||
@@ -1,5 +1,5 @@
|
||||
SCHEMATIC START ;
|
||||
# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Thu Sep 21 05:39:44 2023
|
||||
# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Sat Nov 18 02:05:53 2023
|
||||
|
||||
SYSCONFIG SDM_PORT=DISABLE SLAVE_SPI_PORT=DISABLE I2C_PORT=DISABLE MASTER_SPI_PORT=DISABLE COMPRESS_CONFIG=ON CONFIGURATION=CFG MY_ASSP=OFF ONE_TIME_PROGRAM=OFF CONFIG_SECURE=OFF MCCLK_FREQ=2.08 JTAG_PORT=ENABLE ENABLE_TRANSFR=DISABLE SHAREDEBRINIT=DISABLE MUX_CONFIGURATION_PORTS=DISABLE BACKGROUND_RECONFIG=OFF INBUF=ON ;
|
||||
LOCATE COMP "RD[0]" SITE "36" ;
|
||||
@@ -11,6 +11,7 @@ LOCATE COMP "nRCAS" SITE "52" ;
|
||||
LOCATE COMP "nRRAS" SITE "54" ;
|
||||
LOCATE COMP "nRWE" SITE "49" ;
|
||||
LOCATE COMP "RCKE" SITE "53" ;
|
||||
LOCATE COMP "RCLKout" SITE "60" ;
|
||||
LOCATE COMP "RCLK" SITE "63" ;
|
||||
LOCATE COMP "nRCS" SITE "57" ;
|
||||
LOCATE COMP "RD[7]" SITE "43" ;
|
||||
@@ -32,7 +33,7 @@ LOCATE COMP "RA[3]" SITE "71" ;
|
||||
LOCATE COMP "RA[2]" SITE "69" ;
|
||||
LOCATE COMP "RA[1]" SITE "67" ;
|
||||
LOCATE COMP "RA[0]" SITE "66" ;
|
||||
LOCATE COMP "RBA[1]" SITE "60" ;
|
||||
LOCATE COMP "RBA[1]" SITE "47" ;
|
||||
LOCATE COMP "RBA[0]" SITE "58" ;
|
||||
LOCATE COMP "LED" SITE "34" ;
|
||||
LOCATE COMP "nFWE" SITE "15" ;
|
||||
@@ -110,4 +111,5 @@ OUTPUT PORT "RD[4]" LOAD 9.000000 pF ;
|
||||
OUTPUT PORT "RD[5]" LOAD 9.000000 pF ;
|
||||
OUTPUT PORT "RD[6]" LOAD 9.000000 pF ;
|
||||
OUTPUT PORT "RD[7]" LOAD 9.000000 pF ;
|
||||
OUTPUT PORT "RCLKout" LOAD 5.000000 pF ;
|
||||
COMMERCIAL ;
|
||||
|
||||
@@ -3,7 +3,7 @@
|
||||
#OS: Windows 8 6.2
|
||||
#Hostname: ZANEMACWIN11
|
||||
|
||||
# Thu Sep 21 05:39:33 2023
|
||||
# Sat Nov 18 02:05:39 2023
|
||||
|
||||
#Implementation: impl1
|
||||
|
||||
@@ -51,13 +51,17 @@ Synopsys Verilog Compiler, Version comp202103synp2, Build 093R, Built Aug 10 202
|
||||
@I::"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v" (library work)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-640HC\REFB.v" (library work)
|
||||
Verilog syntax check successful!
|
||||
File \\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v changed - recompiling
|
||||
Selecting top level module RAM2GS
|
||||
@N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1601:7:1601:12|Synthesizing module ODDRXE in library work.
|
||||
Running optimization stage 1 on ODDRXE .......
|
||||
Finished optimization stage 1 on ODDRXE (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
|
||||
@N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work.
|
||||
Running optimization stage 1 on VHI .......
|
||||
Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 98MB)
|
||||
Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
|
||||
@N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1124:7:1124:9|Synthesizing module VLO in library work.
|
||||
Running optimization stage 1 on VLO .......
|
||||
Finished optimization stage 1 on VLO (CPU Time 0h:00m:00s, Memory Used current: 98MB peak: 99MB)
|
||||
Finished optimization stage 1 on VLO (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
|
||||
@N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1800:7:1800:9|Synthesizing module EFB in library work.
|
||||
Running optimization stage 1 on EFB .......
|
||||
Finished optimization stage 1 on EFB (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
|
||||
@@ -77,13 +81,15 @@ Running optimization stage 2 on VLO .......
|
||||
Finished optimization stage 2 on VLO (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Running optimization stage 2 on VHI .......
|
||||
Finished optimization stage 2 on VHI (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Running optimization stage 2 on ODDRXE .......
|
||||
Finished optimization stage 2 on ODDRXE (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 101MB peak: 102MB)
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 102MB peak: 102MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Thu Sep 21 05:39:33 2023
|
||||
# Sat Nov 18 02:05:40 2023
|
||||
|
||||
###########################################################]
|
||||
###########################################################[
|
||||
@@ -110,7 +116,7 @@ At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s;
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Thu Sep 21 05:39:34 2023
|
||||
# Sat Nov 18 02:05:40 2023
|
||||
|
||||
###########################################################]
|
||||
|
||||
@@ -120,12 +126,12 @@ For a summary of runtime and memory usage for all design units, please see file:
|
||||
|
||||
@END
|
||||
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 30MB peak: 31MB)
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 30MB peak: 31MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Thu Sep 21 05:39:34 2023
|
||||
# Sat Nov 18 02:05:40 2023
|
||||
|
||||
###########################################################]
|
||||
###########################################################[
|
||||
@@ -146,18 +152,17 @@ Implementation : impl1
|
||||
Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-640HC\impl1\synwork\RAM2GS_LCMXO2_640HC_impl1_comp.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 99MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Thu Sep 21 05:39:35 2023
|
||||
# Sat Nov 18 02:05:42 2023
|
||||
|
||||
###########################################################]
|
||||
Premap Report
|
||||
|
||||
# Thu Sep 21 05:39:35 2023
|
||||
# Sat Nov 18 02:05:42 2023
|
||||
|
||||
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
@@ -176,10 +181,10 @@ Implementation : impl1
|
||||
Synopsys Lattice Technology Pre-mapping, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @
|
||||
|
||||
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB)
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB)
|
||||
|
||||
|
||||
Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 131MB peak: 140MB)
|
||||
Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 132MB peak: 140MB)
|
||||
|
||||
Reading constraint file: \\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS.sdc
|
||||
@L: \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-640HC\impl1\RAM2GS_LCMXO2_640HC_impl1_scck.rpt
|
||||
@@ -203,7 +208,6 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h
|
||||
@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved.
|
||||
@N: FX493 |Applying initial value "0" on instance Ready.
|
||||
@N: FX493 |Applying initial value "0" on instance RCKE.
|
||||
@N: FX493 |Applying initial value "0" on instance LEDEN.
|
||||
@N: FX493 |Applying initial value "0" on instance n8MEGEN.
|
||||
@N: FX493 |Applying initial value "1" on instance nRCAS.
|
||||
@N: FX493 |Applying initial value "0" on instance CmdLEDEN.
|
||||
@@ -229,11 +233,11 @@ Finished clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapse
|
||||
Starting clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
|
||||
|
||||
|
||||
Finished clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB)
|
||||
Finished clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
|
||||
|
||||
@N: FX1184 |Applying syn_allowed_resources blockrams=2 on top level netlist RAM2GS
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB)
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
|
||||
|
||||
|
||||
|
||||
@@ -317,12 +321,10 @@ Pre-mapping successful!
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 103MB peak: 185MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Thu Sep 21 05:39:37 2023
|
||||
# Sat Nov 18 02:05:43 2023
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
||||
# Thu Sep 21 05:39:37 2023
|
||||
# Sat Nov 18 02:05:44 2023
|
||||
|
||||
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
@@ -341,22 +343,22 @@ Implementation : impl1
|
||||
Synopsys Lattice Technology Mapper, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @
|
||||
|
||||
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB)
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB)
|
||||
|
||||
@N: MF916 |Option synthesis_strategy=base is enabled.
|
||||
@N: MF248 |Running in 64-bit mode.
|
||||
@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
|
||||
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 139MB)
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB)
|
||||
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 139MB)
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB)
|
||||
|
||||
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 146MB)
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 147MB)
|
||||
|
||||
|
||||
|
||||
@@ -365,8 +367,8 @@ Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapse
|
||||
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 183MB peak: 183MB)
|
||||
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":163:4:163:9|Found counter in view:work.RAM2GS(verilog) instance IS[3:0]
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":150:4:150:9|Found counter in view:work.RAM2GS(verilog) instance FS[17:0]
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":166:4:166:9|Found counter in view:work.RAM2GS(verilog) instance IS[3:0]
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":153:4:153:9|Found counter in view:work.RAM2GS(verilog) instance FS[17:0]
|
||||
@N: FX493 |Applying initial value "0" on instance IS[0].
|
||||
@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved.
|
||||
@N: FX493 |Applying initial value "0" on instance IS[1].
|
||||
@@ -376,7 +378,7 @@ Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00
|
||||
Starting factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 185MB peak: 185MB)
|
||||
|
||||
|
||||
Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 187MB peak: 187MB)
|
||||
Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 186MB peak: 186MB)
|
||||
|
||||
|
||||
Available hyper_sources - for debug and ip models
|
||||
@@ -389,7 +391,7 @@ Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:01s; CP
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 187MB peak: 187MB)
|
||||
|
||||
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 187MB peak: 187MB)
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
|
||||
@@ -398,16 +400,16 @@ Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CP
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
|
||||
|
||||
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 197MB peak: 197MB)
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 196MB peak: 196MB)
|
||||
|
||||
Pass CPU time Worst Slack Luts / Registers
|
||||
------------------------------------------------------------
|
||||
1 0h:00m:01s -2.98ns 201 / 106
|
||||
2 0h:00m:01s -2.98ns 217 / 106
|
||||
1 0h:00m:01s -2.98ns 202 / 106
|
||||
2 0h:00m:01s -2.98ns 215 / 106
|
||||
3 0h:00m:01s -2.76ns 215 / 106
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":121:4:121:9|Replicating instance CBR (in view: work.RAM2GS(verilog)) with 6 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":304:4:304:9|Replicating instance CmdValid (in view: work.RAM2GS(verilog)) with 4 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":163:4:163:9|Replicating instance Ready (in view: work.RAM2GS(verilog)) with 11 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":124:4:124:9|Replicating instance CBR (in view: work.RAM2GS(verilog)) with 6 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":307:4:307:9|Replicating instance CmdValid (in view: work.RAM2GS(verilog)) with 4 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":166:4:166:9|Replicating instance Ready (in view: work.RAM2GS(verilog)) with 12 loads 1 time to improve timing.
|
||||
Timing driven replication report
|
||||
Added 3 Registers via timing driven replication
|
||||
Added 1 LUTs via timing driven replication
|
||||
@@ -421,10 +423,10 @@ Finished technology timing optimizations and critical path resynthesis (Real Tim
|
||||
|
||||
@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.
|
||||
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 198MB peak: 198MB)
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 197MB peak: 197MB)
|
||||
|
||||
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 160MB peak: 198MB)
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 161MB peak: 198MB)
|
||||
|
||||
Writing Analyst data base \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-640HC\impl1\synwork\RAM2GS_LCMXO2_640HC_impl1_m.srm
|
||||
|
||||
@@ -434,14 +436,15 @@ Writing EDIF Netlist and constraint files
|
||||
@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-640HC\impl1\RAM2GS_LCMXO2_640HC_impl1.edi
|
||||
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
|
||||
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:03s; Memory used current: 203MB peak: 203MB)
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:03s; Memory used current: 202MB peak: 202MB)
|
||||
|
||||
|
||||
Finished Writing Netlists (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 203MB peak: 203MB)
|
||||
Finished Writing Netlists (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:03s; Memory used current: 202MB peak: 203MB)
|
||||
|
||||
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 201MB peak: 203MB)
|
||||
|
||||
@W: MT246 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":43:11:43:19|Blackbox ODDRXE is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
|
||||
@W: MT246 :"\\mac\icloud\repos\ram2gs\cpld\lcmxo2-640hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
|
||||
@N: MT615 |Found clock RCLK with period 16.00ns
|
||||
@N: MT615 |Found clock PHI2 with period 350.00ns
|
||||
@@ -450,7 +453,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:0
|
||||
|
||||
|
||||
##### START OF TIMING REPORT #####[
|
||||
# Timing report written on Thu Sep 21 05:39:41 2023
|
||||
# Timing report written on Sat Nov 18 02:05:48 2023
|
||||
#
|
||||
|
||||
|
||||
@@ -501,7 +504,7 @@ Starting Ending | constraint slack | constraint slack | constraint
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
System RCLK | 16.000 12.918 | No paths - | No paths - | No paths -
|
||||
RCLK System | 16.000 14.956 | No paths - | No paths - | No paths -
|
||||
RCLK RCLK | 16.000 9.040 | No paths - | No paths - | No paths -
|
||||
RCLK RCLK | 16.000 9.237 | No paths - | No paths - | No paths -
|
||||
RCLK PHI2 | 2.000 0.216 | No paths - | 1.000 -0.676 | No paths -
|
||||
RCLK nCRAS | No paths - | No paths - | 1.000 -0.784 | No paths -
|
||||
PHI2 RCLK | No paths - | No paths - | No paths - | 1.000 -1.828
|
||||
@@ -540,30 +543,30 @@ CmdLEDEN PHI2 FD1P3AX Q CmdLEDEN 1.044
|
||||
Cmdn8MEGEN PHI2 FD1P3AX Q Cmdn8MEGEN 1.044 -1.589
|
||||
CmdUFMWrite PHI2 FD1P3AX Q CmdUFMWrite 1.044 -0.572
|
||||
CmdUFMData PHI2 FD1P3AX Q CmdUFMData 0.972 -0.500
|
||||
Bank_0io[0] PHI2 IFS1P3DX Q Bank[0] 0.972 169.081
|
||||
Bank_0io[1] PHI2 IFS1P3DX Q Bank[1] 0.972 169.081
|
||||
Bank_0io[2] PHI2 IFS1P3DX Q Bank[2] 0.972 169.081
|
||||
Bank_0io[3] PHI2 IFS1P3DX Q Bank[3] 0.972 169.081
|
||||
==========================================================================================
|
||||
|
||||
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
-----------------------------------------------------------------------------------------
|
||||
wb_adr[0] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828
|
||||
wb_adr[1] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828
|
||||
wb_adr[2] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828
|
||||
wb_adr[3] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828
|
||||
wb_adr[4] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828
|
||||
wb_adr[5] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828
|
||||
wb_adr[6] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828
|
||||
wb_adr[7] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828
|
||||
wb_dati[0] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828
|
||||
wb_dati[1] PHI2 FD1P3AX SP un1_wb_rst14_i_0 0.528 -1.828
|
||||
=========================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
--------------------------------------------------------------------------------
|
||||
wb_adr[0] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[1] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[2] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[3] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[4] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[5] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[6] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_adr[7] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_dati[0] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
wb_dati[1] PHI2 FD1P3AX SP N_126_i 0.528 -1.828
|
||||
================================================================================
|
||||
|
||||
|
||||
|
||||
@@ -594,7 +597,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
un1_wb_rst14_i_0 Net - - - - 17
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[0] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
@@ -622,7 +625,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
un1_wb_rst14_i_0 Net - - - - 17
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[7] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
@@ -650,7 +653,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
un1_wb_rst14_i_0 Net - - - - 17
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[6] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
@@ -678,7 +681,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
un1_wb_rst14_i_0 Net - - - - 17
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[5] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
@@ -706,7 +709,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
un1_wb_rst14_i_0 Net - - - - 17
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[4] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
@@ -729,13 +732,13 @@ Instance Reference Type Pin Net Time Slac
|
||||
Ready_fast RCLK FD1S3AX Q Ready_fast 1.256 -0.784
|
||||
LEDEN RCLK FD1S3AX Q LEDEN 1.148 -0.676
|
||||
n8MEGEN RCLK FD1S3AX Q n8MEGEN 1.108 -0.636
|
||||
IS[1] RCLK FD1P3AX Q IS[1] 1.204 9.040
|
||||
IS[2] RCLK FD1P3AX Q IS[2] 1.188 9.056
|
||||
IS[3] RCLK FD1P3AX Q IS[3] 1.148 9.096
|
||||
InitReady RCLK FD1S3AX Q InitReady 1.339 9.228
|
||||
FS[15] RCLK FD1S3AX Q FS[15] 1.228 9.339
|
||||
FS[16] RCLK FD1S3AX Q FS[16] 1.188 9.379
|
||||
FS[17] RCLK FD1S3AX Q FS[17] 1.188 9.379
|
||||
InitReady RCLK FD1S3AX Q InitReady 1.337 9.237
|
||||
FS[16] RCLK FD1S3AX Q FS[16] 1.204 9.371
|
||||
FS[17] RCLK FD1S3AX Q FS[17] 1.204 9.371
|
||||
FS[15] RCLK FD1S3AX Q FS[15] 1.188 9.387
|
||||
S[0] RCLK FD1S3IX Q CO0 1.244 9.873
|
||||
S[1] RCLK FD1S3IX Q S[1] 1.236 9.881
|
||||
RASr2 RCLK FD1S3AX Q RASr2 1.228 9.889
|
||||
==================================================================================
|
||||
|
||||
|
||||
@@ -832,7 +835,35 @@ Path information for path number 3:
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RowA[0] / D
|
||||
Ending point: RBA_0io[1] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
|
||||
Ready_fast Net - - - - 14
|
||||
RBAd[1] ORCALUT4 B In 0.000 1.256 r -
|
||||
RBAd[1] ORCALUT4 Z Out 0.617 1.873 r -
|
||||
RBAd_0[1] Net - - - - 1
|
||||
RBA_0io[1] OFS1P3DX D In 0.000 1.873 r -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 4:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 1.873
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -0.784
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RowA[1] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
|
||||
@@ -841,14 +872,14 @@ Name Type Name Dir Delay Time Fan Out(s
|
||||
---------------------------------------------------------------------------------
|
||||
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
|
||||
Ready_fast Net - - - - 14
|
||||
RowAd[0] ORCALUT4 B In 0.000 1.256 r -
|
||||
RowAd[0] ORCALUT4 Z Out 0.617 1.873 r -
|
||||
RowAd_0[0] Net - - - - 1
|
||||
RowA[0] FD1S3AX D In 0.000 1.873 r -
|
||||
RowAd[1] ORCALUT4 B In 0.000 1.256 r -
|
||||
RowAd[1] ORCALUT4 Z Out 0.617 1.873 r -
|
||||
RowAd_0[1] Net - - - - 1
|
||||
RowA[1] FD1S3AX D In 0.000 1.873 r -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 4:
|
||||
Path information for path number 5:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
@@ -876,34 +907,6 @@ RowA[5] FD1S3AX D In 0.000 1.873 f -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 5:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 1.873
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -0.784
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RowA[8] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
|
||||
Ready_fast Net - - - - 14
|
||||
RowAd[8] ORCALUT4 B In 0.000 1.256 r -
|
||||
RowAd[8] ORCALUT4 Z Out 0.617 1.873 r -
|
||||
RowAd_0[8] Net - - - - 1
|
||||
RowA[8] FD1S3AX D In 0.000 1.873 r -
|
||||
=================================================================================
|
||||
|
||||
|
||||
|
||||
|
||||
====================================
|
||||
@@ -928,16 +931,16 @@ FWEr nCRAS FD1S3AX Q FWEr 1.180 -1.797
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
------------------------------------------------------------------------------------------
|
||||
nRCAS_0io nCRAS OFS1P3BX D N_248_i 1.089 -2.605
|
||||
nRCS_0io nCRAS OFS1P3BX D N_247_i 1.089 -1.797
|
||||
nRWE_0io nCRAS OFS1P3BX D N_49_i 1.089 -1.797
|
||||
nRowColSel nCRAS FD1S3IX D nRowColSel_0_0_0 1.089 -1.797
|
||||
RCKEEN nCRAS FD1S3AX D RCKEEN_8 1.089 -1.725
|
||||
==========================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
----------------------------------------------------------------------------------------
|
||||
nRCAS_0io nCRAS OFS1P3BX D N_251_i 1.089 -2.605
|
||||
nRCS_0io nCRAS OFS1P3BX D N_37_i 1.089 -1.797
|
||||
nRWE_0io nCRAS OFS1P3BX D N_252_i 1.089 -1.797
|
||||
nRowColSel nCRAS FD1S3IX D nRowColSel_0_0 1.089 -1.797
|
||||
RCKEEN nCRAS FD1S3AX D RCKEEN_8 1.089 -1.725
|
||||
========================================================================================
|
||||
|
||||
|
||||
|
||||
@@ -971,10 +974,10 @@ CBR_fast_RNIQ31K1 ORCALUT4 Z Out 1.089 2.061 r -
|
||||
nRCAS_0_sqmuxa_1 Net - - - - 2
|
||||
nRCAS_0io_RNO_0 ORCALUT4 B In 0.000 2.061 r -
|
||||
nRCAS_0io_RNO_0 ORCALUT4 Z Out 1.017 3.077 r -
|
||||
N_248_i_sx Net - - - - 1
|
||||
nRCAS_0io_RNO ORCALUT4 D In 0.000 3.077 r -
|
||||
N_251_i_sx Net - - - - 1
|
||||
nRCAS_0io_RNO ORCALUT4 C In 0.000 3.077 r -
|
||||
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 3.694 f -
|
||||
N_248_i Net - - - - 1
|
||||
N_251_i Net - - - - 1
|
||||
nRCAS_0io OFS1P3BX D In 0.000 3.694 f -
|
||||
====================================================================================
|
||||
|
||||
@@ -995,19 +998,19 @@ Path information for path number 2:
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
--------------------------------------------------------------------------------------
|
||||
CBR FD1S3AX Q Out 1.180 1.180 r -
|
||||
CBR Net - - - - 5
|
||||
RCKEEN_8_u_0_0_a2_2 ORCALUT4 A In 0.000 1.180 r -
|
||||
RCKEEN_8_u_0_0_a2_2 ORCALUT4 Z Out 1.089 2.269 f -
|
||||
N_590 Net - - - - 2
|
||||
nRCS_0io_RNO ORCALUT4 C In 0.000 2.269 f -
|
||||
nRCS_0io_RNO ORCALUT4 Z Out 0.617 2.885 r -
|
||||
N_247_i Net - - - - 1
|
||||
nRCS_0io OFS1P3BX D In 0.000 2.885 r -
|
||||
======================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------
|
||||
CBR FD1S3AX Q Out 1.180 1.180 r -
|
||||
CBR Net - - - - 5
|
||||
RCKEEN_8_u_0_a2_2 ORCALUT4 A In 0.000 1.180 r -
|
||||
RCKEEN_8_u_0_a2_2 ORCALUT4 Z Out 1.089 2.269 f -
|
||||
N_141 Net - - - - 2
|
||||
nRCS_0io_RNO ORCALUT4 A In 0.000 2.269 f -
|
||||
nRCS_0io_RNO ORCALUT4 Z Out 0.617 2.885 r -
|
||||
N_37_i Net - - - - 1
|
||||
nRCS_0io OFS1P3BX D In 0.000 2.885 r -
|
||||
====================================================================================
|
||||
|
||||
|
||||
Path information for path number 3:
|
||||
@@ -1026,19 +1029,19 @@ Path information for path number 3:
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
FWEr FD1S3AX Q Out 1.180 1.180 r -
|
||||
FWEr Net - - - - 5
|
||||
nRCAS_r_i_0_a2 ORCALUT4 B In 0.000 1.180 r -
|
||||
nRCAS_r_i_0_a2 ORCALUT4 Z Out 1.089 2.269 f -
|
||||
N_248_i_1_0 Net - - - - 2
|
||||
nRCAS_0io_RNO ORCALUT4 C In 0.000 2.269 f -
|
||||
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.885 r -
|
||||
N_248_i Net - - - - 1
|
||||
nRCAS_0io OFS1P3BX D In 0.000 2.885 r -
|
||||
=================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------
|
||||
FWEr FD1S3AX Q Out 1.180 1.180 r -
|
||||
FWEr Net - - - - 5
|
||||
nRCS_9_u_i_a2_0 ORCALUT4 B In 0.000 1.180 r -
|
||||
nRCS_9_u_i_a2_0 ORCALUT4 Z Out 1.089 2.269 f -
|
||||
N_251_i_1 Net - - - - 2
|
||||
nRCAS_0io_RNO ORCALUT4 A In 0.000 2.269 f -
|
||||
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.885 r -
|
||||
N_251_i Net - - - - 1
|
||||
nRCAS_0io OFS1P3BX D In 0.000 2.885 r -
|
||||
==================================================================================
|
||||
|
||||
|
||||
Path information for path number 4:
|
||||
@@ -1057,19 +1060,19 @@ Path information for path number 4:
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
--------------------------------------------------------------------------------------
|
||||
CBR FD1S3AX Q Out 1.180 1.180 r -
|
||||
CBR Net - - - - 5
|
||||
RCKEEN_8_u_0_0_a2_2 ORCALUT4 A In 0.000 1.180 r -
|
||||
RCKEEN_8_u_0_0_a2_2 ORCALUT4 Z Out 1.089 2.269 f -
|
||||
N_590 Net - - - - 2
|
||||
nRWE_0io_RNO ORCALUT4 B In 0.000 2.269 f -
|
||||
nRWE_0io_RNO ORCALUT4 Z Out 0.617 2.885 r -
|
||||
N_49_i Net - - - - 1
|
||||
nRWE_0io OFS1P3BX D In 0.000 2.885 r -
|
||||
======================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
------------------------------------------------------------------------------------
|
||||
CBR FD1S3AX Q Out 1.180 1.180 r -
|
||||
CBR Net - - - - 5
|
||||
RCKEEN_8_u_0_a2_2 ORCALUT4 A In 0.000 1.180 r -
|
||||
RCKEEN_8_u_0_a2_2 ORCALUT4 Z Out 1.089 2.269 f -
|
||||
N_141 Net - - - - 2
|
||||
nRWE_0io_RNO ORCALUT4 B In 0.000 2.269 f -
|
||||
nRWE_0io_RNO ORCALUT4 Z Out 0.617 2.885 r -
|
||||
N_252_i Net - - - - 1
|
||||
nRWE_0io OFS1P3BX D In 0.000 2.885 r -
|
||||
====================================================================================
|
||||
|
||||
|
||||
Path information for path number 5:
|
||||
@@ -1093,12 +1096,12 @@ Name Type Name Dir Delay Time Fan
|
||||
--------------------------------------------------------------------------------------
|
||||
FWEr FD1S3AX Q Out 1.180 1.180 r -
|
||||
FWEr Net - - - - 5
|
||||
nRowColSel_0_0_0_a2 ORCALUT4 B In 0.000 1.180 r -
|
||||
nRowColSel_0_0_0_a2 ORCALUT4 Z Out 1.089 2.269 r -
|
||||
N_248_i_1_1 Net - - - - 2
|
||||
nRowColSel_0_0_a2_1 ORCALUT4 B In 0.000 1.180 r -
|
||||
nRowColSel_0_0_a2_1 ORCALUT4 Z Out 1.089 2.269 r -
|
||||
N_251_i_1_0 Net - - - - 2
|
||||
nRCAS_0io_RNO ORCALUT4 B In 0.000 2.269 r -
|
||||
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.885 f -
|
||||
N_248_i Net - - - - 1
|
||||
N_251_i Net - - - - 1
|
||||
nRCAS_0io OFS1P3BX D In 0.000 2.885 f -
|
||||
======================================================================================
|
||||
|
||||
@@ -1127,14 +1130,14 @@ ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
-----------------------------------------------------------------------------------
|
||||
LEDEN System FD1S3AX D LEDENe_0 16.089 12.918
|
||||
n8MEGEN System FD1S3AX D n8MEGENe_0 16.089 12.918
|
||||
wb_cyc_stb System FD1P3IX SP N_178 15.528 14.912
|
||||
===================================================================================
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
--------------------------------------------------------------------------------------------------
|
||||
LEDEN System FD1S3AX D LEDENe_0 16.089 12.918
|
||||
n8MEGEN System FD1S3AX D n8MEGENe_0 16.089 12.918
|
||||
wb_cyc_stb System FD1P3IX SP wb_cyc_stb_2_sqmuxa_i_0_0 15.528 14.912
|
||||
==================================================================================================
|
||||
|
||||
|
||||
|
||||
@@ -1172,8 +1175,8 @@ ufmefb.EFBInst_0_RNISI191 ORCALUT4 Z Out 0.449 1.466 r
|
||||
N_4 Net - - - - 1
|
||||
CmdValid_RNIOOBE2 ORCALUT4 C In 0.000 1.466 r -
|
||||
CmdValid_RNIOOBE2 ORCALUT4 Z Out 1.089 2.554 r -
|
||||
CmdValid_RNIOOBE2 Net - - - - 2
|
||||
LEDENe ORCALUT4 B In 0.000 2.554 r -
|
||||
un1_FS_38_i Net - - - - 2
|
||||
LEDENe ORCALUT4 C In 0.000 2.554 r -
|
||||
LEDENe ORCALUT4 Z Out 0.617 3.171 r -
|
||||
LEDENe_0 Net - - - - 1
|
||||
LEDEN FD1S3AX D In 0.000 3.171 r -
|
||||
@@ -1196,7 +1199,7 @@ Part: lcmxo2_640hc-4
|
||||
|
||||
Register bits: 109 of 640 (17%)
|
||||
PIC Latch: 0
|
||||
I/O cells: 63
|
||||
I/O cells: 64
|
||||
|
||||
|
||||
Details:
|
||||
@@ -1211,12 +1214,13 @@ GSR: 1
|
||||
IB: 25
|
||||
IFS1P3DX: 9
|
||||
INV: 7
|
||||
OB: 30
|
||||
OB: 31
|
||||
ODDRXE: 1
|
||||
OFS1P3BX: 4
|
||||
OFS1P3DX: 11
|
||||
OFS1P3JX: 1
|
||||
ORCALUT4: 213
|
||||
PFUMX: 1
|
||||
ORCALUT4: 212
|
||||
PFUMX: 2
|
||||
PUR: 1
|
||||
VHI: 2
|
||||
VLO: 2
|
||||
@@ -1225,6 +1229,6 @@ Mapper successful!
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:04s; Memory used current: 78MB peak: 203MB)
|
||||
|
||||
Process took 0h:00m:04s realtime, 0h:00m:04s cputime
|
||||
# Thu Sep 21 05:39:42 2023
|
||||
# Sat Nov 18 02:05:48 2023
|
||||
|
||||
###########################################################]
|
||||
|
||||
@@ -13,7 +13,7 @@ Setup and Hold Report
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454
|
||||
Thu Sep 21 05:39:45 2023
|
||||
Sat Nov 18 02:05:54 2023
|
||||
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
@@ -46,28 +46,28 @@ Passed: The following path meets requirements by 163.025ns (weighted slack = 326
|
||||
|
||||
Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-)
|
||||
|
||||
Source: FF Q Bank_0io[0] (from PHI2_c +)
|
||||
Source: FF Q Bank_0io[1] (from PHI2_c +)
|
||||
Destination: FF Data in CmdEnable (to PHI2_c -)
|
||||
|
||||
Delay: 9.223ns (33.1% logic, 66.9% route), 6 logic levels.
|
||||
|
||||
Constraint Details:
|
||||
|
||||
9.223ns physical path delay Din[0]_MGIOL to SLICE_17 meets
|
||||
9.223ns physical path delay Din[1]_MGIOL to SLICE_17 meets
|
||||
172.414ns delay constraint less
|
||||
0.166ns DIN_SET requirement (totaling 172.248ns) by 163.025ns
|
||||
|
||||
Physical Path Details:
|
||||
|
||||
Data path Din[0]_MGIOL to SLICE_17:
|
||||
Data path Din[1]_MGIOL to SLICE_17:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
C2INP_DEL --- 0.577 *[0]_MGIOL.CLK to *n[0]_MGIOL.IN Din[0]_MGIOL (from PHI2_c)
|
||||
ROUTE 1 e 1.234 *n[0]_MGIOL.IN to SLICE_93.A0 Bank[0]
|
||||
CTOF_DEL --- 0.495 SLICE_93.A0 to SLICE_93.F0 SLICE_93
|
||||
ROUTE 1 e 1.234 SLICE_93.F0 to SLICE_84.C0 un1_CmdEnable20_0_0_o3_10
|
||||
CTOF_DEL --- 0.495 SLICE_84.C0 to SLICE_84.F0 SLICE_84
|
||||
ROUTE 6 e 1.234 SLICE_84.F0 to SLICE_11.C1 un1_CmdEnable20_0_0_o3
|
||||
C2INP_DEL --- 0.577 *[1]_MGIOL.CLK to *n[1]_MGIOL.IN Din[1]_MGIOL (from PHI2_c)
|
||||
ROUTE 1 e 1.234 *n[1]_MGIOL.IN to SLICE_90.A0 Bank[1]
|
||||
CTOF_DEL --- 0.495 SLICE_90.A0 to SLICE_90.F0 SLICE_90
|
||||
ROUTE 1 e 1.234 SLICE_90.F0 to SLICE_80.C0 un1_CmdEnable20_0_0_o2_10
|
||||
CTOF_DEL --- 0.495 SLICE_80.C0 to SLICE_80.F0 SLICE_80
|
||||
ROUTE 6 e 1.234 SLICE_80.F0 to SLICE_11.C1 N_367
|
||||
CTOF_DEL --- 0.495 SLICE_11.C1 to SLICE_11.F1 SLICE_11
|
||||
ROUTE 3 e 1.234 SLICE_11.F1 to SLICE_33.B0 CmdEnable16
|
||||
CTOF_DEL --- 0.495 SLICE_33.B0 to SLICE_33.F0 SLICE_33
|
||||
@@ -118,48 +118,46 @@ Report: 150.150MHz is the maximum frequency for this preference.
|
||||
|
||||
================================================================================
|
||||
Preference: FREQUENCY PORT "RCLK" 62.500000 MHz ;
|
||||
878 items scored, 0 timing errors detected.
|
||||
891 items scored, 0 timing errors detected.
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
Passed: The following path meets requirements by 6.049ns
|
||||
Passed: The following path meets requirements by 5.516ns
|
||||
|
||||
Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-)
|
||||
|
||||
Source: FF Q IS[1] (from RCLK_c +)
|
||||
Destination: FF Data in nRCAS_0io (to RCLK_c +)
|
||||
Source: FF Q S[0] (from RCLK_c +)
|
||||
Destination: FF Data in nRWE_0io (to RCLK_c +)
|
||||
|
||||
Delay: 9.798ns (34.9% logic, 65.1% route), 7 logic levels.
|
||||
Delay: 10.331ns (28.3% logic, 71.7% route), 6 logic levels.
|
||||
|
||||
Constraint Details:
|
||||
|
||||
9.798ns physical path delay SLICE_27 to nRCAS_MGIOL meets
|
||||
10.331ns physical path delay SLICE_16 to nRWE_MGIOL meets
|
||||
16.000ns delay constraint less
|
||||
0.153ns DO_SET requirement (totaling 15.847ns) by 6.049ns
|
||||
0.153ns DO_SET requirement (totaling 15.847ns) by 5.516ns
|
||||
|
||||
Physical Path Details:
|
||||
|
||||
Data path SLICE_27 to nRCAS_MGIOL:
|
||||
Data path SLICE_16 to nRWE_MGIOL:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
REG_DEL --- 0.452 SLICE_27.CLK to SLICE_27.Q0 SLICE_27 (from RCLK_c)
|
||||
ROUTE 7 e 1.234 SLICE_27.Q0 to SLICE_74.A1 IS[1]
|
||||
CTOF_DEL --- 0.495 SLICE_74.A1 to SLICE_74.F1 SLICE_74
|
||||
ROUTE 2 e 0.480 SLICE_74.F1 to SLICE_74.B0 un1_nRCAS_6_sqmuxa_i_0_0_o2_0
|
||||
CTOF_DEL --- 0.495 SLICE_74.B0 to SLICE_74.F0 SLICE_74
|
||||
ROUTE 2 e 1.234 SLICE_74.F0 to SLICE_61.B1 N_408
|
||||
CTOF_DEL --- 0.495 SLICE_61.B1 to SLICE_61.F1 SLICE_61
|
||||
ROUTE 1 e 0.480 SLICE_61.F1 to SLICE_61.A0 un1_nRCAS_6_sqmuxa_i_0_0
|
||||
CTOF_DEL --- 0.495 SLICE_61.A0 to SLICE_61.F0 SLICE_61
|
||||
ROUTE 1 e 1.234 SLICE_61.F0 to SLICE_94.D0 nRCAS_r_i_0_o2_0_0
|
||||
CTOF_DEL --- 0.495 SLICE_94.D0 to SLICE_94.F0 SLICE_94
|
||||
ROUTE 1 e 0.480 SLICE_94.F0 to SLICE_94.A1 N_248_i_1
|
||||
CTOF_DEL --- 0.495 SLICE_94.A1 to SLICE_94.F1 SLICE_94
|
||||
ROUTE 1 e 1.234 SLICE_94.F1 to *AS_MGIOL.OPOS N_248_i (to RCLK_c)
|
||||
REG_DEL --- 0.452 SLICE_16.CLK to SLICE_16.Q0 SLICE_16 (from RCLK_c)
|
||||
ROUTE 12 e 1.234 SLICE_16.Q0 to SLICE_62.D1 CO0
|
||||
CTOF_DEL --- 0.495 SLICE_62.D1 to SLICE_62.F1 SLICE_62
|
||||
ROUTE 6 e 1.234 SLICE_62.F1 to SLICE_79.A1 IS_0_sqmuxa_0_o2
|
||||
CTOF_DEL --- 0.495 SLICE_79.A1 to SLICE_79.F1 SLICE_79
|
||||
ROUTE 2 e 1.234 SLICE_79.F1 to SLICE_28.D1 IS_0_sqmuxa_0_o3
|
||||
CTOF_DEL --- 0.495 SLICE_28.D1 to SLICE_28.F1 SLICE_28
|
||||
ROUTE 1 e 1.234 SLICE_28.F1 to SLICE_68.D1 nRWE_s_i_a2_1_0
|
||||
CTOF_DEL --- 0.495 SLICE_68.D1 to SLICE_68.F1 SLICE_68
|
||||
ROUTE 1 e 1.234 SLICE_68.F1 to SLICE_75.D0 nRWE_s_i_tz_0
|
||||
CTOF_DEL --- 0.495 SLICE_75.D0 to SLICE_75.F0 SLICE_75
|
||||
ROUTE 1 e 1.234 SLICE_75.F0 to *WE_MGIOL.OPOS N_252_i (to RCLK_c)
|
||||
--------
|
||||
9.798 (34.9% logic, 65.1% route), 7 logic levels.
|
||||
10.331 (28.3% logic, 71.7% route), 6 logic levels.
|
||||
|
||||
Report: 100.492MHz is the maximum frequency for this preference.
|
||||
Report: 95.383MHz is the maximum frequency for this preference.
|
||||
|
||||
Report Summary
|
||||
--------------
|
||||
@@ -173,7 +171,7 @@ FREQUENCY PORT "nCCAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0
|
||||
| | |
|
||||
FREQUENCY PORT "nCRAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0
|
||||
| | |
|
||||
FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 100.492 MHz| 7
|
||||
FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 95.383 MHz| 6
|
||||
| | |
|
||||
----------------------------------------------------------------------------
|
||||
|
||||
@@ -198,7 +196,7 @@ Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11
|
||||
Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 10
|
||||
No transfer within this clock domain is found
|
||||
|
||||
Clock Domain: RCLK_c Source: RCLK.PAD Loads: 47
|
||||
Clock Domain: RCLK_c Source: RCLK.PAD Loads: 48
|
||||
Covered under: FREQUENCY PORT "RCLK" 62.500000 MHz ;
|
||||
|
||||
Data transfers from:
|
||||
@@ -228,11 +226,11 @@ Timing summary (Setup):
|
||||
Timing errors: 0 Score: 0
|
||||
Cumulative negative slack: 0
|
||||
|
||||
Constraints cover 1025 paths, 4 nets, and 741 connections (72.86% coverage)
|
||||
Constraints cover 1038 paths, 4 nets, and 732 connections (72.40% coverage)
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454
|
||||
Thu Sep 21 05:39:45 2023
|
||||
Sat Nov 18 02:05:54 2023
|
||||
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
@@ -303,7 +301,7 @@ Preference: FREQUENCY PORT "nCRAS" 2.900000 MHz ;
|
||||
|
||||
================================================================================
|
||||
Preference: FREQUENCY PORT "RCLK" 62.500000 MHz ;
|
||||
878 items scored, 0 timing errors detected.
|
||||
891 items scored, 0 timing errors detected.
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
@@ -369,7 +367,7 @@ Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11
|
||||
Clock Domain: nCCAS_c Source: nCCAS.PAD Loads: 10
|
||||
No transfer within this clock domain is found
|
||||
|
||||
Clock Domain: RCLK_c Source: RCLK.PAD Loads: 47
|
||||
Clock Domain: RCLK_c Source: RCLK.PAD Loads: 48
|
||||
Covered under: FREQUENCY PORT "RCLK" 62.500000 MHz ;
|
||||
|
||||
Data transfers from:
|
||||
@@ -399,7 +397,7 @@ Timing summary (Hold):
|
||||
Timing errors: 0 Score: 0
|
||||
Cumulative negative slack: 0
|
||||
|
||||
Constraints cover 1025 paths, 4 nets, and 741 connections (72.86% coverage)
|
||||
Constraints cover 1038 paths, 4 nets, and 732 connections (72.40% coverage)
|
||||
|
||||
|
||||
|
||||
|
||||
File diff suppressed because it is too large
Load Diff
@@ -12,10 +12,10 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
font-weight: bold;
|
||||
margin-top: 24px;
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
font-weight: bold;
|
||||
margin-top: 18px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.90em;
|
||||
@@ -80,10 +80,20 @@ Creating bit map...
|
||||
.fail
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
}
|
||||
.comment
|
||||
{
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
}
|
||||
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Bgn"></A>BITGEN: Bitstream Generator Diamond (64-bit) 3.12.1.454
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
{
|
||||
font-size: 90%;
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Sat Nov 18 02:06:26 2023
|
||||
|
||||
@@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
# Written on Thu Sep 21 05:39:36 2023
|
||||
# Written on Sat Nov 18 02:05:43 2023
|
||||
|
||||
##### DESIGN INFO #######################################################
|
||||
|
||||
@@ -103,6 +103,7 @@ p:RA[11]
|
||||
p:RBA[0]
|
||||
p:RBA[1]
|
||||
p:RCKE
|
||||
p:RCLKout
|
||||
p:RDQMH
|
||||
p:RDQML
|
||||
p:RD[0] (bidir end point)
|
||||
|
||||
@@ -38,7 +38,7 @@ Performance Hardware Data Status: Final Version 34.4.
|
||||
table
|
||||
{
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
border-collapse: collapse;
|
||||
}
|
||||
@@ -50,95 +50,96 @@ Worst Case Results across Performance Grades (M, 6, 5, 4):
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
text-align:left;
|
||||
font-size:78%;
|
||||
}
|
||||
td {
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
.fail
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
{
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
}
|
||||
font-size:78%;
|
||||
}
|
||||
td {
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
.fail
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
{
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
}
|
||||
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Top"></A><B><U><big>I/O Timing Report</big></U></B>
|
||||
Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd.
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Top"></A><B><U><big>I/O Timing Report</big></U></B>
|
||||
Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-640HC
|
||||
Package: TQFP100
|
||||
Package: TQFP100
|
||||
Performance: 5
|
||||
Package Status: Final Version 1.39.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-640HC
|
||||
Package: TQFP100
|
||||
Performance: 6
|
||||
Package Status: Final Version 1.39.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-640HC
|
||||
Package: TQFP100
|
||||
Performance: M
|
||||
Package Status: Final Version 1.39.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
// Design: RAM2GS
|
||||
// Package: TQFP100
|
||||
// ncd File: ram2gs_lcmxo2_640hc_impl1.ncd
|
||||
// Version: Diamond (64-bit) 3.12.1.454
|
||||
// Written on Thu Sep 21 05:40:03 2023
|
||||
// M: Minimum Performance Grade
|
||||
// iotiming RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-640HC/promote.xml
|
||||
|
||||
I/O Timing Report (All units are in ns)
|
||||
|
||||
Worst Case Results across Performance Grades (M, 6, 5, 4):
|
||||
|
||||
// Input Setup and Hold Times
|
||||
|
||||
Port Clock Edge Setup Performance_Grade Hold Performance_Grade
|
||||
----------------------------------------------------------------------
|
||||
CROW[0] nCRAS F 2.429 4 -0.163 M
|
||||
CROW[1] nCRAS F 1.927 4 -0.005 M
|
||||
Din[0] PHI2 F 5.424 4 3.636 4
|
||||
Din[0] nCCAS F 1.913 4 -0.130 M
|
||||
Din[1] PHI2 F 5.162 4 3.516 4
|
||||
Package Status: Final Version 1.39.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-640HC
|
||||
Package: TQFP100
|
||||
Performance: 6
|
||||
Package Status: Final Version 1.39.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
Loading design for application iotiming from file ram2gs_lcmxo2_640hc_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO2-640HC
|
||||
Package: TQFP100
|
||||
Performance: M
|
||||
Package Status: Final Version 1.39.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
// Design: RAM2GS
|
||||
// Package: TQFP100
|
||||
// ncd File: ram2gs_lcmxo2_640hc_impl1.ncd
|
||||
// Version: Diamond (64-bit) 3.12.1.454
|
||||
// Written on Sat Nov 18 02:06:14 2023
|
||||
// M: Minimum Performance Grade
|
||||
// iotiming RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-640HC/promote.xml
|
||||
|
||||
I/O Timing Report (All units are in ns)
|
||||
|
||||
Worst Case Results across Performance Grades (M, 6, 5, 4):
|
||||
|
||||
// Input Setup and Hold Times
|
||||
|
||||
Port Clock Edge Setup Performance_Grade Hold Performance_Grade
|
||||
----------------------------------------------------------------------
|
||||
CROW[0] nCRAS F 2.058 4 -0.092 M
|
||||
CROW[1] nCRAS F 2.269 4 -0.117 M
|
||||
Din[0] PHI2 F 7.415 4 3.636 4
|
||||
Din[0] nCCAS F 2.760 4 -0.330 M
|
||||
Din[1] PHI2 F 6.384 4 3.516 4
|
||||
Din[1] nCCAS F 1.112 4 0.128 4
|
||||
Din[2] PHI2 F 6.717 4 3.516 4
|
||||
Din[2] nCCAS F 0.113 4 0.982 4
|
||||
Din[3] PHI2 F 5.806 4 3.516 4
|
||||
|
||||
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
@@ -23,7 +23,7 @@ Target Vendor: LATTICE
|
||||
h3 {
|
||||
font-weight: bold;
|
||||
margin-top: 12px;
|
||||
margin-bottom: 5px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
p {
|
||||
@@ -34,12 +34,24 @@ Mapped on: 09/21/23 05:39:43
|
||||
margin-bottom: 4px;
|
||||
margin-right: 4px;
|
||||
margin-left: 4px;
|
||||
}
|
||||
table
|
||||
}
|
||||
table
|
||||
{
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
border-color: black black black black;
|
||||
border-collapse: collapse;
|
||||
}
|
||||
th {
|
||||
font-weight:bold;
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
text-align:left;
|
||||
font-size:78%;
|
||||
}
|
||||
td {
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
@@ -55,20 +67,20 @@ Mapped on: 09/21/23 05:39:43
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
a {
|
||||
.pass
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
|
||||
.fail
|
||||
{
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
{
|
||||
font-size: 90%;
|
||||
@@ -78,16 +90,16 @@ Mapped on: 09/21/23 05:39:43
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
{
|
||||
background-color: #ff0000;
|
||||
<PRE><A name="Mrp"></A>
|
||||
Lattice Mapping Report File for Design Module 'RAM2GS'
|
||||
.comment
|
||||
{
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
}
|
||||
|
||||
|
||||
|
||||
<A name="mrp_di"></A><B><U><big>Design Information</big></U></B>
|
||||
|
||||
Command line: map -a MachXO2 -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial
|
||||
RAM2GS_LCMXO2_640HC_impl1.ngd -o RAM2GS_LCMXO2_640HC_impl1_map.ncd -pr
|
||||
-->
|
||||
RAM2GS_LCMXO2_640HC_impl1.prf -mp RAM2GS_LCMXO2_640HC_impl1.mrp -lpf //Mac/
|
||||
iCloud/Repos/RAM2GS/CPLD/LCMXO2-640HC/impl1/RAM2GS_LCMXO2_640HC_impl1_synpl
|
||||
ify.lpf -lpf //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.lpf -c 0 -gui
|
||||
-msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-640HC/promote.xml
|
||||
@@ -115,6 +127,7 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
Number of IDDR/ODDR/TDDR cells used: 1 out of 237 (0%)
|
||||
Number of IDDR cells: 0
|
||||
Number of ODDR cells: 1
|
||||
Number of TDDR cells: 0
|
||||
Number of PIO using at least one IDDR/ODDR/TDDR: 1 (0 differential)
|
||||
Number of PIO using IDDR only: 0 (0 differential)
|
||||
Number of PIO using ODDR only: 1 (0 differential)
|
||||
@@ -127,7 +140,6 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
Number of GSRs: 0 out of 1 (0%)
|
||||
EFB used : Yes
|
||||
JTAG used : No
|
||||
JTAG used : No
|
||||
Readback used : No
|
||||
Oscillator used : No
|
||||
Startup used : No
|
||||
@@ -135,6 +147,8 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
Bandgap : On
|
||||
Number of Power Controller: 0 out of 1 (0%)
|
||||
Number of Dynamic Bank Controller (BCINRD): 0 out of 4 (0%)
|
||||
Number of DCCA: 0 out of 8 (0%)
|
||||
Number of DCMA: 0 out of 2 (0%)
|
||||
Notes:-
|
||||
1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of
|
||||
|
||||
@@ -170,6 +184,7 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
Net Ready: 14 loads
|
||||
Net Ready_fast: 14 loads
|
||||
Net CO0: 12 loads
|
||||
|
||||
|
||||
|
||||
|
||||
@@ -184,7 +199,6 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
|
||||
WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
temporarily disable certain features of the device including Power
|
||||
|
||||
Controller, GSR, Hardened User SPI Port, Hardened Primary User I2C Port.
|
||||
Functionality is restored after the Flash Memory (UFM/Configuration)
|
||||
Interface is disabled using Disable Configuration Interface command 0x26
|
||||
@@ -227,6 +241,7 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RD[6] | BIDIR | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RD[5] | BIDIR | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RD[4] | BIDIR | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
@@ -241,7 +256,6 @@ WARNING - map: UFM was enabled in EFB: Enabling the configuration interface will
|
||||
| RA[10] | OUTPUT | LVCMOS33 | OUT |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RA[9] | OUTPUT | LVCMOS33 | |
|
||||
| RA[9] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RA[8] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
@@ -254,7 +268,6 @@ Block GSR_INST undriven or does not drive anything - clipped.
|
||||
| RA[4] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RA[3] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| RA[2] | OUTPUT | LVCMOS33 | |
|
||||
@@ -286,6 +299,7 @@ Signal ufmefb/I2C2SDAOEN undriven or does not drive anything - clipped.
|
||||
| Dout[3] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Dout[2] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Dout[1] | OUTPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[7] | INPUT | LVCMOS33 | IN |
|
||||
@@ -299,7 +313,6 @@ Signal ufmefb/PLLDATO5 undriven or does not drive anything - clipped.
|
||||
| Din[3] | INPUT | LVCMOS33 | IN |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[2] | INPUT | LVCMOS33 | IN |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| Din[1] | INPUT | LVCMOS33 | IN |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
@@ -320,7 +333,6 @@ Signal N_1 undriven or does not drive anything - clipped.
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| MAin[5] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| MAin[3] | INPUT | LVCMOS33 | |
|
||||
| MAin[4] | INPUT | LVCMOS33 | |
|
||||
+---------------------+-----------+-----------+------------+
|
||||
| MAin[3] | INPUT | LVCMOS33 | |
|
||||
@@ -345,6 +357,7 @@ Block ufmefb/GND was optimized away.
|
||||
Signal FS_s_0_S1[17] undriven or does not drive anything - clipped.
|
||||
Signal FS_s_0_COUT[17] undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/CFGSTDBY undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/CFGWAKE undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/wbc_ufm_irq undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/TCOC undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/TCINT undriven or does not drive anything - clipped.
|
||||
@@ -358,7 +371,6 @@ Block ufmefb/GND was optimized away.
|
||||
Signal ufmefb/SPIMCSN2 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/SPIMCSN1 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/SPIMCSN0 undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/SPIMOSIEN undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/SPIMOSIEN undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/SPIMOSIO undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/SPIMISOEN undriven or does not drive anything - clipped.
|
||||
@@ -400,18 +412,6 @@ Instance Name: ufmefb/EFBInst_0
|
||||
Signal ufmefb/wb_dat_o_1[5] undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/wb_dat_o_1[6] undriven or does not drive anything - clipped.
|
||||
Signal ufmefb/wb_dat_o_1[7] undriven or does not drive anything - clipped.
|
||||
Signal N_1 undriven or does not drive anything - clipped.
|
||||
Block nCRAS_pad_RNIBPVB was optimized away.
|
||||
Block RASr2_RNIAFR1 was optimized away.
|
||||
Block XOR8MEG.CN was optimized away.
|
||||
Block GND was optimized away.
|
||||
Block ufmefb/VCC was optimized away.
|
||||
Block ufmefb/GND was optimized away.
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
Signal FS_cry_0_S0[0] undriven or does not drive anything - clipped.
|
||||
Signal N_1 undriven or does not drive anything - clipped.
|
||||
Block nCRAS_pad_RNIBPVB was optimized away.
|
||||
|
||||
@@ -14,7 +14,7 @@ Performance Grade: 4
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
margin-top: 18px;
|
||||
margin-bottom: 5px;
|
||||
@@ -63,9 +63,10 @@ Pinout by Port Name:
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
@@ -143,7 +144,7 @@ Vccio by Bank:
|
||||
| RA[5] | 70/1 | LVCMOS33_OUT | PR2D | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[6] | 68/1 | LVCMOS33_OUT | PR3B | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[7] | 75/1 | LVCMOS33_OUT | PR2A | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[7] | 75/1 | LVCMOS33_OUT | PR2A | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[8] | 65/1 | LVCMOS33_OUT | PR5A | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RA[9] | 62/1 | LVCMOS33_OUT | PR5D | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RBA[0] | 58/1 | LVCMOS33_OUT | PR6C | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RBA[1] | 47/2 | LVCMOS33_OUT | PB14B | | | DRIVE:4mA SLEW:SLOW |
|
||||
@@ -153,7 +154,7 @@ Vccio by Bank:
|
||||
| RDQMH | 51/1 | LVCMOS33_OUT | PR7D | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RDQML | 48/2 | LVCMOS33_OUT | PB14C | | | DRIVE:4mA SLEW:SLOW |
|
||||
| RD[0] | 36/2 | LVCMOS33_BIDI | PB10A | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
| RD[1] | 37/2 | LVCMOS33_BIDI | PB10B | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
| RD[1] | 37/2 | LVCMOS33_BIDI | PB10B | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
| RD[2] | 38/2 | LVCMOS33_BIDI | PB10C | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
| RD[3] | 39/2 | LVCMOS33_BIDI | PB10D | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
| RD[4] | 40/2 | LVCMOS33_BIDI | PB12A | | | DRIVE:4mA PULL:KEEPER CLAMP:ON HYSTERESIS:SMALL SLEW:SLOW |
|
||||
@@ -247,9 +248,10 @@ LOCATE COMP "RA[7]" SITE "75";
|
||||
| 68/1 | RA[6] | LOCATED | LVCMOS33_OUT | PR3B | | | |
|
||||
| 69/1 | RA[2] | LOCATED | LVCMOS33_OUT | PR3A | | | |
|
||||
| 70/1 | RA[5] | LOCATED | LVCMOS33_OUT | PR2D | | | |
|
||||
| 71/1 | RA[3] | LOCATED | LVCMOS33_OUT | PR2C | | | |
|
||||
| 71/1 | RA[3] | LOCATED | LVCMOS33_OUT | PR2C | | | |
|
||||
| 74/1 | RA[4] | LOCATED | LVCMOS33_OUT | PR2B | | | |
|
||||
| 75/1 | RA[7] | LOCATED | LVCMOS33_OUT | PR2A | | | |
|
||||
| 76/0 | Dout[0] | LOCATED | LVCMOS33_OUT | PT11D | DONE | | |
|
||||
| 77/0 | unused, PULL:DOWN | | | PT11C | INITN | | |
|
||||
| 78/0 | Dout[6] | LOCATED | LVCMOS33_OUT | PT11A | | | |
|
||||
| 81/0 | unused, PULL:DOWN | | | PT10D | PROGRAMN | | |
|
||||
@@ -278,7 +280,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
| PT7D | TMS | JTAG_PORT=ENABLE | 90/0 | | PULLUP |
|
||||
| PT7C | TCK/TEST_CLK | JTAG_PORT=ENABLE | 91/0 | | NO pull up/down |
|
||||
| PT7B | TDI/MD7 | JTAG_PORT=ENABLE | 94/0 | | PULLUP |
|
||||
| PT7B | TDI/MD7 | JTAG_PORT=ENABLE | 94/0 | | PULLUP |
|
||||
| PT7A | TDO | JTAG_PORT=ENABLE | 95/0 | | PULLUP |
|
||||
+----------+--------------------+--------------------+----------+-------------+-------------------+
|
||||
|
||||
Dedicated sysCONFIG Pins:
|
||||
|
||||
@@ -12,7 +12,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
font-weight: bold;
|
||||
margin-top: 24px;
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
@@ -26,17 +26,17 @@ Preference file: RAM2GS_LCMXO2_640HC_impl1.prf.
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
p {
|
||||
p {
|
||||
font-size:78%;
|
||||
}
|
||||
P.Table {
|
||||
P.Table {
|
||||
margin-top: 4px;
|
||||
margin-bottom: 4px;
|
||||
margin-right: 4px;
|
||||
margin-left: 4px;
|
||||
}
|
||||
table
|
||||
{
|
||||
{
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
@@ -63,23 +63,23 @@ Ignore Preference Error(s): True
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
}
|
||||
.pass
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
}
|
||||
.fail
|
||||
{
|
||||
background-color: #ff0000;
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
{
|
||||
@@ -92,18 +92,18 @@ WARNING - par: Signal "nCRAS_c" is selected to use Secondary clock res
|
||||
</HEAD>
|
||||
<PRE><A name="Par"></A>PAR: Place And Route Diamond (64-bit) 3.12.1.454.
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Sat Nov 18 02:05:56 2023
|
||||
|
||||
C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO2_640HC_impl1.p2t
|
||||
|
||||
C:/lscc/diamond/3.12/ispfpga\bin\nt64\par -f RAM2GS_LCMXO2_640HC_impl1.p2t
|
||||
RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.dir
|
||||
RAM2GS_LCMXO2_640HC_impl1.prf -gui -msgset
|
||||
//Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-640HC/promote.xml
|
||||
|
||||
|
||||
|
||||
|
||||
Preference file: RAM2GS_LCMXO2_640HC_impl1.prf.
|
||||
|
||||
<A name="par_cts"></A><B><U><big>Cost Table Summary</big></U></B>
|
||||
@@ -116,7 +116,7 @@ Global Clock Resources:
|
||||
Total (real) run time for 1-seed: 15 secs
|
||||
|
||||
par done!
|
||||
|
||||
|
||||
Note: user must run 'Trace' for timing closure signoff.
|
||||
|
||||
Lattice Place and Route Report for Design "RAM2GS_LCMXO2_640HC_impl1_map.ncd"
|
||||
@@ -128,9 +128,9 @@ Global Clocks:
|
||||
Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-640HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 RAM2GS_LCMXO2_640HC_impl1_map.ncd RAM2GS_LCMXO2_640HC_impl1.dir/5_1.ncd RAM2GS_LCMXO2_640HC_impl1.prf
|
||||
Preference file: RAM2GS_LCMXO2_640HC_impl1.prf.
|
||||
Placement level-cost: 5-1.
|
||||
Routing Iterations: 6
|
||||
|
||||
Loading design for application par from file RAM2GS_LCMXO2_640HC_impl1_map.ncd.
|
||||
Routing Iterations: 6
|
||||
|
||||
Loading design for application par from file RAM2GS_LCMXO2_640HC_impl1_map.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
@@ -139,20 +139,20 @@ I/O Bank Usage Summary:
|
||||
Performance: 4
|
||||
Loading device for application par from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
|
||||
Package Status: Final Version 1.39.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
Performance Hardware Data Status: Final Version 34.4.
|
||||
License checked out.
|
||||
|
||||
|
||||
Ignore Preference Error(s): True
|
||||
Ignore Preference Error(s): True
|
||||
|
||||
<A name="par_dus"></A><B><U><big>Device utilization summary:</big></U></B>
|
||||
|
||||
PIO (prelim) 63+4(JTAG)/80 84% used
|
||||
PIO (prelim) 64+4(JTAG)/80 85% used
|
||||
64+4(JTAG)/79 86% bonded
|
||||
IOLOGIC 26/80 32% used
|
||||
|
||||
|
||||
SLICE 120/320 37% used
|
||||
|
||||
|
||||
EFB 1/1 100% used
|
||||
|
||||
|
||||
@@ -167,50 +167,41 @@ Note: NBR uses a different method to calculate timing slacks. The
|
||||
PHI2_c (driver: PHI2, clk load #: 20)
|
||||
|
||||
WARNING - par: Signal "PHI2_c" is selected to use Primary clock resources. However, its driver comp "PHI2" is located at "8", which is not a dedicated pin for connecting to Primary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
|
||||
|
||||
|
||||
The following 2 signals are selected to use the secondary clock routing resources:
|
||||
nCRAS_c (driver: nCRAS, clk load #: 9, sr load #: 0, ce load #: 0)
|
||||
nCRAS_c (driver: nCRAS, clk load #: 9, sr load #: 0, ce load #: 0)
|
||||
nCCAS_c (driver: nCCAS, clk load #: 8, sr load #: 0, ce load #: 0)
|
||||
|
||||
WARNING - par: Signal "nCRAS_c" is selected to use Secondary clock resources. However, its driver comp "nCRAS" is located at "17", which is not a dedicated pin for connecting to Secondary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
|
||||
|
||||
WARNING - par: Signal "nCRAS_c" is selected to use Secondary clock resources. However, its driver comp "nCRAS" is located at "17", which is not a dedicated pin for connecting to Secondary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
|
||||
WARNING - par: Signal "nCCAS_c" is selected to use Secondary clock resources. However, its driver comp "nCCAS" is located at "9", which is not a dedicated pin for connecting to Secondary clock resources. General routing has to be used to route this signal, and it might suffer from excessive delay or skew.
|
||||
No signal is selected as Global Set/Reset.
|
||||
Starting Placer Phase 0.
|
||||
No signal is selected as Global Set/Reset.
|
||||
Starting Placer Phase 0.
|
||||
...........
|
||||
Finished Placer Phase 0. REAL time: 0 secs
|
||||
|
||||
Finished Placer Phase 0. REAL time: 2 secs
|
||||
|
||||
Starting Placer Phase 1.
|
||||
....................
|
||||
Placer score = 57011.
|
||||
....................
|
||||
Placer score = 59875.
|
||||
Finished Placer Phase 1. REAL time: 9 secs
|
||||
|
||||
Starting Placer Phase 2.
|
||||
.
|
||||
Placer score = 56576
|
||||
Placer score = 59374
|
||||
Finished Placer Phase 2. REAL time: 9 secs
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
<A name="par_clk"></A><B><U><big>Clock Report</big></U></B>
|
||||
|
||||
Global Clock Resources:
|
||||
CLK_PIN : 1 out of 8 (12%)
|
||||
General PIO: 3 out of 80 (3%)
|
||||
DCM : 0 out of 2 (0%)
|
||||
DCC : 0 out of 8 (0%)
|
||||
|
||||
Global Clocks:
|
||||
<A name="par_clk"></A><B><U><big>Clock Report</big></U></B>
|
||||
PRIMARY "PHI2_c" from comp "PHI2" on PIO site "8 (PL3B)", clk load = 20
|
||||
|
||||
Global Clock Resources:
|
||||
SECONDARY "nCCAS_c" from comp "nCCAS" on PIO site "9 (PL3C)", clk load = 8, ce load = 0, sr load = 0
|
||||
CLK_PIN : 1 out of 8 (12%)
|
||||
General PIO: 3 out of 80 (3%)
|
||||
PRIMARY : 2 out of 8 (25%)
|
||||
DCM : 0 out of 2 (0%)
|
||||
DCC : 0 out of 8 (0%)
|
||||
|
||||
|
||||
Global Clocks:
|
||||
PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "63 (PR5C)", clk load = 48
|
||||
|
||||
PRIMARY "PHI2_c" from comp "PHI2" on PIO site "8 (PL3B)", clk load = 20
|
||||
SECONDARY "nCRAS_c" from comp "nCRAS" on PIO site "17 (PL6B)", clk load = 9, ce load = 0, sr load = 0
|
||||
SECONDARY "nCCAS_c" from comp "nCCAS" on PIO site "9 (PL3C)", clk load = 8, ce load = 0, sr load = 0
|
||||
|
||||
@@ -218,17 +209,17 @@ NBR Summary
|
||||
SECONDARY: 2 out of 8 (25%)
|
||||
|
||||
|
||||
+----------+----------------+------------+-----------+
|
||||
|
||||
|
||||
I/O Usage Summary (final):
|
||||
64 + 4(JTAG) out of 80 (85.0%) PIO sites used.
|
||||
64 + 4(JTAG) out of 79 (86.1%) bonded PIO sites used.
|
||||
Number of PIO comps: 64; differential: 0.
|
||||
Number of Vref pins used: 0.
|
||||
+----------+----------------+------------+-----------+
|
||||
|
||||
|
||||
I/O Bank Usage Summary:
|
||||
+----------+----------------+------------+-----------+
|
||||
|
||||
| I/O Bank | Usage | Bank Vccio | Bank Vref |
|
||||
+----------+----------------+------------+-----------+
|
||||
| 0 | 13 / 19 ( 68%) | 3.3V | - |
|
||||
| 1 | 20 / 20 (100%) | 3.3V | - |
|
||||
@@ -242,14 +233,14 @@ All signals are completely routed.
|
||||
|
||||
0 connections routed; 1011 unrouted.
|
||||
Starting router resource preassignment
|
||||
routed as short as possible. The routing process is said to
|
||||
|
||||
Completed router resource preassignment. Real time: 13 secs
|
||||
|
||||
Start NBR router at 02:06:09 11/18/23
|
||||
|
||||
*****************************************************************
|
||||
Info: NBR allows conflicts(one node used by more than one signal)
|
||||
*****************************************************************
|
||||
in the earlier iterations. In each iteration, it tries to
|
||||
solve the conflicts while keeping the critical connections
|
||||
routed as short as possible. The routing process is said to
|
||||
be completed when no conflicts exist and all connections
|
||||
|
||||
@@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
# Written on Thu Sep 21 05:39:36 2023
|
||||
# Written on Sat Nov 18 02:05:42 2023
|
||||
|
||||
##### FILES SYNTAX CHECKED ##############################################
|
||||
Constraint File(s): "\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS.sdc"
|
||||
|
||||
@@ -24,9 +24,9 @@
|
||||
font-weight: bold;
|
||||
margin-top: 12px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
p {
|
||||
p {
|
||||
font-size:78%;
|
||||
}
|
||||
P.Table {
|
||||
@@ -62,7 +62,7 @@
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
|
||||
@@ -12,7 +12,7 @@
|
||||
font-weight: bold;
|
||||
margin-top: 24px;
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
@@ -60,13 +60,17 @@ Synopsys Verilog Compiler, Version comp202103synp2, Build 093R, Built Aug 10 202
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
color:#013C9A;
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
@@ -86,13 +90,15 @@ Running optimization stage 2 on VLO .......
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Syn"></A><B><U><big>Synthesis Report</big></U></B>
|
||||
#Build: Synplify Pro (R) R-2021.03L-SP1, Build 093R, Aug 10 2021
|
||||
#install: C:\lscc\diamond\3.12\synpbase
|
||||
-->
|
||||
#OS: Windows 8 6.2
|
||||
#Hostname: ZANEMACWIN11
|
||||
|
||||
# Sat Nov 18 02:05:39 2023
|
||||
|
||||
#install: C:\lscc\diamond\3.12\synpbase
|
||||
#Implementation: impl1
|
||||
|
||||
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
@@ -119,7 +125,7 @@ At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s;
|
||||
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
|
||||
Synopsys software or the associated documentation is strictly prohibited.
|
||||
Tool: Synplify Pro (R)
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
Build: R-2021.03L-SP1
|
||||
Install: C:\lscc\diamond\3.12\synpbase
|
||||
OS: Windows 6.2
|
||||
|
||||
@@ -129,12 +135,12 @@ For a summary of runtime and memory usage for all design units, please see file:
|
||||
Synopsys Verilog Compiler, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
Hostname: ZANEMACWIN11
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v" (library work)
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\lucent\pmi_def.v" (library work)
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\hypermods.v" (library __hyper__lib__)
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\umr_capim.v" (library snps_haps)
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_objects.v" (library snps_haps)
|
||||
@N|Running in 64-bit mode
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_pipes.svh" (library snps_haps)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v" (library work)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-640HC\REFB.v" (library work)
|
||||
Verilog syntax check successful!
|
||||
@@ -155,18 +161,17 @@ Implementation : impl1
|
||||
@N: CG364 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-640HC\REFB.v":8:7:8:10|Synthesizing module REFB in library work.
|
||||
Running optimization stage 1 on REFB .......
|
||||
Finished optimization stage 1 on REFB (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
|
||||
@N: CG364 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v":1:7:1:12|Synthesizing module RAM2GS in library work.
|
||||
Running optimization stage 1 on RAM2GS .......
|
||||
Finished optimization stage 1 on RAM2GS (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 101MB)
|
||||
Running optimization stage 2 on RAM2GS .......
|
||||
Finished optimization stage 2 on RAM2GS (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Running optimization stage 2 on REFB .......
|
||||
Finished optimization stage 2 on REFB (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Finished optimization stage 2 on RAM2GS (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Running optimization stage 2 on EFB .......
|
||||
Finished optimization stage 2 on EFB (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Running optimization stage 2 on VLO .......
|
||||
Running optimization stage 2 on EFB .......
|
||||
Finished optimization stage 2 on EFB (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Running optimization stage 2 on VLO .......
|
||||
Finished optimization stage 2 on VLO (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Running optimization stage 2 on VHI .......
|
||||
Finished optimization stage 2 on VHI (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
|
||||
Running optimization stage 2 on ODDRXE .......
|
||||
@@ -185,10 +190,10 @@ Implementation : impl1
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
|
||||
and may only be used pursuant to the terms and conditions of a written license agreement
|
||||
Synopsys software or the associated documentation is strictly prohibited.
|
||||
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
|
||||
Synopsys software or the associated documentation is strictly prohibited.
|
||||
Tool: Synplify Pro (R)
|
||||
Install: C:\lscc\diamond\3.12\synpbase
|
||||
Build: R-2021.03L-SP1
|
||||
Install: C:\lscc\diamond\3.12\synpbase
|
||||
OS: Windows 6.2
|
||||
|
||||
@@ -212,7 +217,6 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h
|
||||
==========================================================
|
||||
@L: A:\\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-640HC\impl1\synwork\RAM2GS_LCMXO2_640HC_impl1_comp.rt.csv
|
||||
|
||||
|
||||
@END
|
||||
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 30MB peak: 31MB)
|
||||
@@ -238,11 +242,11 @@ Finished clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapse
|
||||
Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
@N|Running in 64-bit mode
|
||||
Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO2-640HC\impl1\synwork\RAM2GS_LCMXO2_640HC_impl1_comp.srs changed - recompiling
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 99MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
@@ -326,12 +330,10 @@ Pre-mapping successful!
|
||||
|
||||
@N: FX1184 |Applying syn_allowed_resources blockrams=2 on top level netlist RAM2GS
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB)
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
|
||||
|
||||
|
||||
|
||||
Clock Summary
|
||||
******************
|
||||
|
||||
Clock Summary
|
||||
******************
|
||||
|
||||
@@ -350,22 +352,22 @@ Implementation : impl1
|
||||
========================================================================================
|
||||
|
||||
|
||||
***********************
|
||||
|
||||
Clock Load Summary
|
||||
***********************
|
||||
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
|
||||
-----------------------------------------------------------------------------------------
|
||||
RCLK 65 RCLK(port) CASr2.C - -
|
||||
|
||||
nCRAS 14 nCRAS(port) CBR.C RASr.D[0] RASr_2.I[0](inv)
|
||||
PHI2 19 PHI2(port) Bank[7:0].C PHI2r.D[0] un1_PHI2.I[0](inv)
|
||||
|
||||
nCRAS 14 nCRAS(port) CBR.C RASr.D[0] RASr_2.I[0](inv)
|
||||
|
||||
nCCAS 8 nCCAS(port) WRD[7:0].C CASr.D[0] CASr_2.I[0](inv)
|
||||
|
||||
|
||||
System 0 - - - -
|
||||
=========================================================================================
|
||||
|
||||
ICG Latch Removal Summary:
|
||||
@@ -374,8 +376,8 @@ Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapse
|
||||
For details review file gcc_ICG_report.rpt
|
||||
|
||||
|
||||
|
||||
|
||||
@S |Clock Optimization Summary
|
||||
|
||||
|
||||
|
||||
#### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[
|
||||
@@ -385,7 +387,7 @@ Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00
|
||||
0 instances converted, 0 sequential instances remain driven by gated/generated clocks
|
||||
|
||||
=========================== Non-Gated/Non-Generated Clocks ============================
|
||||
@KP:ckid0_0 RCLK port 65 nRWE
|
||||
Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance
|
||||
---------------------------------------------------------------------------------------
|
||||
@KP:ckid0_0 RCLK port 65 nRWE
|
||||
@KP:ckid0_1 PHI2 port 19 RA11
|
||||
@@ -398,7 +400,7 @@ Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:01s; CP
|
||||
|
||||
@N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized.
|
||||
Finished Pre Mapping Phase.
|
||||
|
||||
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB)
|
||||
|
||||
|
||||
@@ -407,16 +409,16 @@ Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CP
|
||||
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 183MB peak: 183MB)
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 103MB peak: 185MB)
|
||||
Pre-mapping successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 103MB peak: 185MB)
|
||||
|
||||
|
||||
###########################################################]
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Sat Nov 18 02:05:43 2023
|
||||
|
||||
|
||||
# Thu Sep 21 05:39:37 2023
|
||||
|
||||
###########################################################]
|
||||
# Sat Nov 18 02:05:44 2023
|
||||
|
||||
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
|
||||
@@ -430,10 +432,10 @@ Finished technology timing optimizations and critical path resynthesis (Real Tim
|
||||
|
||||
Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
Implementation : impl1
|
||||
Synopsys Lattice Technology Mapper, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @
|
||||
|
||||
|
||||
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB)
|
||||
|
||||
@N: MF916 |Option synthesis_strategy=base is enabled.
|
||||
@@ -443,14 +445,15 @@ Writing EDIF Netlist and constraint files
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB)
|
||||
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 139MB)
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB)
|
||||
|
||||
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB)
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 147MB)
|
||||
|
||||
|
||||
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 179MB peak: 179MB)
|
||||
|
||||
@@ -459,7 +462,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:0
|
||||
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":166:4:166:9|Found counter in view:work.RAM2GS(verilog) instance IS[3:0]
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":153:4:153:9|Found counter in view:work.RAM2GS(verilog) instance FS[17:0]
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-lcmxo2.v":150:4:150:9|Found counter in view:work.RAM2GS(verilog) instance FS[17:0]
|
||||
@N: FX493 |Applying initial value "0" on instance IS[0].
|
||||
@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved.
|
||||
@N: FX493 |Applying initial value "0" on instance IS[1].
|
||||
@N: FX493 |Applying initial value "0" on instance IS[2].
|
||||
@@ -510,7 +513,7 @@ Starting Ending | constraint slack | constraint slack | constraint
|
||||
5 0h:00m:02s -1.97ns 220 / 109
|
||||
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 197MB peak: 197MB)
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 197MB peak: 197MB)
|
||||
|
||||
@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.
|
||||
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 197MB peak: 197MB)
|
||||
@@ -549,30 +552,30 @@ CmdLEDEN PHI2 FD1P3AX Q CmdLEDEN 1.044
|
||||
|
||||
Top view: RAM2GS
|
||||
Requested Frequency: 2.9 MHz
|
||||
Wire load mode: top
|
||||
Wire load mode: top
|
||||
Paths requested: 5
|
||||
Constraint File(s): \\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS.sdc
|
||||
|
||||
@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report.
|
||||
|
||||
@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock.
|
||||
|
||||
|
||||
|
||||
Performance Summary
|
||||
*******************
|
||||
|
||||
|
||||
Worst slack in design: -2.605
|
||||
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
-------------------------------------------------------------------------------------------------------------------
|
||||
PHI2 2.9 MHz 1.0 MHz 350.000 989.870 -1.828 declared default_clkgroup
|
||||
RCLK 62.5 MHz 17.3 MHz 16.000 57.686 -0.784 declared default_clkgroup
|
||||
nCCAS 2.9 MHz NA 350.000 NA NA declared default_clkgroup
|
||||
nCRAS 2.9 MHz 0.8 MHz 350.000 1261.890 -2.605 declared default_clkgroup
|
||||
System 100.0 MHz NA 10.000 NA 12.918 system system_clkgroup
|
||||
|
||||
Performance Summary
|
||||
*******************
|
||||
|
||||
|
||||
Worst slack in design: -2.605
|
||||
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
-------------------------------------------------------------------------------------------------------------------
|
||||
PHI2 2.9 MHz 1.0 MHz 350.000 989.870 -1.828 declared default_clkgroup
|
||||
RCLK 62.5 MHz 17.3 MHz 16.000 57.686 -0.784 declared default_clkgroup
|
||||
nCCAS 2.9 MHz NA 350.000 NA NA declared default_clkgroup
|
||||
nCRAS 2.9 MHz 0.8 MHz 350.000 1261.890 -2.605 declared default_clkgroup
|
||||
System 100.0 MHz NA 10.000 NA 12.918 system system_clkgroup
|
||||
===================================================================================================================
|
||||
Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
|
||||
|
||||
@@ -603,7 +606,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
===============================================================================================================
|
||||
Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
|
||||
'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
|
||||
|
||||
|
||||
|
||||
|
||||
Interface Information
|
||||
@@ -631,7 +634,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdValid PHI2 FD1S3AX Q CmdValid 1.108 -1.725
|
||||
CmdLEDEN PHI2 FD1P3AX Q CmdLEDEN 1.044 -1.589
|
||||
Cmdn8MEGEN PHI2 FD1P3AX Q Cmdn8MEGEN 1.044 -1.589
|
||||
CmdUFMWrite PHI2 FD1P3AX Q CmdUFMWrite 1.044 -0.572
|
||||
CmdUFMWrite PHI2 FD1P3AX Q CmdUFMWrite 1.044 -0.572
|
||||
CmdUFMData PHI2 FD1P3AX Q CmdUFMData 0.972 -0.500
|
||||
Bank_0io[1] PHI2 IFS1P3DX Q Bank[1] 0.972 169.081
|
||||
Bank_0io[2] PHI2 IFS1P3DX Q Bank[2] 0.972 169.081
|
||||
@@ -659,7 +662,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
================================================================================
|
||||
|
||||
|
||||
|
||||
|
||||
Worst Path Information
|
||||
***********************
|
||||
|
||||
@@ -687,7 +690,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
un1_wb_rst14_i_0 Net - - - - 17
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[0] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
@@ -715,7 +718,7 @@ CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
un1_wb_rst14_i_0 Net - - - - 17
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[7] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
@@ -738,13 +741,13 @@ Instance Reference Type Pin Net Time Slac
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
-----------------------------------------------------------------------------------------
|
||||
CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
un1_wb_rst14_i_0 Net - - - - 17
|
||||
wb_adr[6] FD1P3AX SP In 0.000 2.357 r -
|
||||
-----------------------------------------------------------------------------------------
|
||||
CmdUFMShift FD1P3AX Q Out 1.044 1.044 r -
|
||||
CmdUFMShift Net - - - - 2
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 A In 0.000 1.044 r -
|
||||
CmdValid_fast_RNI3K0H1 ORCALUT4 Z Out 1.313 2.357 r -
|
||||
N_126_i Net - - - - 17
|
||||
wb_adr[6] FD1P3AX SP In 0.000 2.357 r -
|
||||
=========================================================================================
|
||||
|
||||
|
||||
@@ -841,7 +844,35 @@ Path information for path number 3:
|
||||
------------------------------------------------------------------------------------
|
||||
RBA_0io[0] RCLK OFS1P3DX D RBAd_0[0] 1.089 -0.784
|
||||
RBA_0io[1] RCLK OFS1P3DX D RBAd_0[1] 1.089 -0.784
|
||||
RowA[0] RCLK FD1S3AX D RowAd_0[0] 1.089 -0.784
|
||||
RowA[0] RCLK FD1S3AX D RowAd_0[0] 1.089 -0.784
|
||||
RowA[1] RCLK FD1S3AX D RowAd_0[1] 1.089 -0.784
|
||||
RowA[2] RCLK FD1S3AX D RowAd_0[2] 1.089 -0.784
|
||||
RowA[3] RCLK FD1S3AX D RowAd_0[3] 1.089 -0.784
|
||||
RowA[4] RCLK FD1S3AX D RowAd_0[4] 1.089 -0.784
|
||||
RowA[5] RCLK FD1S3AX D RowAd_0[5] 1.089 -0.784
|
||||
RowA[6] RCLK FD1S3AX D RowAd_0[6] 1.089 -0.784
|
||||
RowA[7] RCLK FD1S3AX D RowAd_0[7] 1.089 -0.784
|
||||
====================================================================================
|
||||
|
||||
|
||||
|
||||
Worst Path Information
|
||||
***********************
|
||||
|
||||
|
||||
Path information for path number 1:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 1.873
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -0.784
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RBA_0io[0] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin SCLK
|
||||
|
||||
@@ -850,14 +881,14 @@ Name Type Name Dir Delay Time Fan Out(s
|
||||
---------------------------------------------------------------------------------
|
||||
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
|
||||
Ready_fast Net - - - - 14
|
||||
|
||||
|
||||
|
||||
Worst Path Information
|
||||
RBAd[0] ORCALUT4 B In 0.000 1.256 r -
|
||||
RBAd[0] ORCALUT4 Z Out 0.617 1.873 r -
|
||||
RBAd_0[0] Net - - - - 1
|
||||
RBA_0io[0] OFS1P3DX D In 0.000 1.873 r -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 1:
|
||||
Path information for path number 2:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
@@ -885,34 +916,6 @@ RowA[5] FD1S3AX D In 0.000 1.873 f -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 2:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 1.873
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -0.784
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RowA[9] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
|
||||
Ready_fast Net - - - - 14
|
||||
RowAd[9] ORCALUT4 B In 0.000 1.256 r -
|
||||
RowAd[9] ORCALUT4 Z Out 0.617 1.873 f -
|
||||
RowAd_0[9] Net - - - - 1
|
||||
RowA[9] FD1S3AX D In 0.000 1.873 f -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 3:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
@@ -937,16 +940,16 @@ FWEr nCRAS FD1S3AX Q FWEr 1.180 -1.797
|
||||
RBAd[1] ORCALUT4 B In 0.000 1.256 r -
|
||||
RBAd[1] ORCALUT4 Z Out 0.617 1.873 r -
|
||||
RBAd_0[1] Net - - - - 1
|
||||
RowA[0] FD1S3AX D In 0.000 1.873 r -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 4:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
RBA_0io[1] OFS1P3DX D In 0.000 1.873 r -
|
||||
=================================================================================
|
||||
|
||||
|
||||
Path information for path number 4:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 1.873
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -0.784
|
||||
@@ -980,10 +983,10 @@ CBR_fast_RNIQ31K1 ORCALUT4 Z Out 1.089 2.061 r -
|
||||
= Slack (non-critical) : -0.784
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RowA[8] / D
|
||||
Starting point: Ready_fast / Q
|
||||
Ending point: RowA[5] / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
@@ -1004,19 +1007,19 @@ Path information for path number 2:
|
||||
====================================
|
||||
|
||||
|
||||
|
||||
Starting Points with Worst Slack
|
||||
********************************
|
||||
|
||||
Starting Arrival
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
------------------------------------------------------------------------------
|
||||
CBR_fast nCRAS FD1S3AX Q CBR_fast 0.972 -2.605
|
||||
CBR nCRAS FD1S3AX Q CBR 1.180 -1.797
|
||||
FWEr nCRAS FD1S3AX Q FWEr 1.180 -1.797
|
||||
==============================================================================
|
||||
|
||||
|
||||
Starting Points with Worst Slack
|
||||
********************************
|
||||
|
||||
Starting Arrival
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
------------------------------------------------------------------------------
|
||||
CBR_fast nCRAS FD1S3AX Q CBR_fast 0.972 -2.605
|
||||
CBR nCRAS FD1S3AX Q CBR 1.180 -1.797
|
||||
FWEr nCRAS FD1S3AX Q FWEr 1.180 -1.797
|
||||
==============================================================================
|
||||
|
||||
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
@@ -1035,19 +1038,19 @@ Path information for path number 3:
|
||||
|
||||
|
||||
Worst Path Information
|
||||
***********************
|
||||
|
||||
|
||||
Path information for path number 1:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 3.694
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (critical) : -2.605
|
||||
|
||||
***********************
|
||||
|
||||
|
||||
Path information for path number 1:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 3.694
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (critical) : -2.605
|
||||
|
||||
Number of logic level(s): 3
|
||||
Starting point: CBR_fast / Q
|
||||
Ending point: nRCAS_0io / D
|
||||
@@ -1066,19 +1069,19 @@ Path information for path number 4:
|
||||
nRCAS_0io_RNO_0 ORCALUT4 Z Out 1.017 3.077 r -
|
||||
N_251_i_sx Net - - - - 1
|
||||
nRCAS_0io_RNO ORCALUT4 C In 0.000 3.077 r -
|
||||
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 3.694 f -
|
||||
N_248_i Net - - - - 1
|
||||
nRCAS_0io OFS1P3BX D In 0.000 3.694 f -
|
||||
====================================================================================
|
||||
|
||||
|
||||
Path information for path number 2:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.885
|
||||
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 3.694 f -
|
||||
N_251_i Net - - - - 1
|
||||
nRCAS_0io OFS1P3BX D In 0.000 3.694 f -
|
||||
====================================================================================
|
||||
|
||||
|
||||
Path information for path number 2:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.885
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.797
|
||||
|
||||
@@ -1102,12 +1105,12 @@ Name Type Name Dir Delay Time Fan
|
||||
nRCS_0io OFS1P3BX D In 0.000 2.885 r -
|
||||
====================================================================================
|
||||
|
||||
|
||||
Path information for path number 3:
|
||||
Requested Period: 1.000
|
||||
|
||||
Path information for path number 3:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.885
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
@@ -1136,14 +1139,14 @@ ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000
|
||||
|
||||
Path information for path number 4:
|
||||
Requested Period: 1.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.885
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.797
|
||||
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: 1.089
|
||||
|
||||
- Propagation time: 2.885
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -1.797
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: CBR / Q
|
||||
Ending point: nRWE_0io / D
|
||||
@@ -1181,8 +1184,8 @@ ufmefb.EFBInst_0_RNISI191 ORCALUT4 Z Out 0.449 1.466 r
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
--------------------------------------------------------------------------------------
|
||||
FWEr FD1S3AX Q Out 1.180 1.180 r -
|
||||
FWEr Net - - - - 5
|
||||
@@ -1205,7 +1208,7 @@ Part: lcmxo2_640hc-4
|
||||
|
||||
|
||||
Starting Points with Worst Slack
|
||||
********************************
|
||||
********************************
|
||||
|
||||
Starting Arrival
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
@@ -1220,12 +1223,13 @@ GSR: 1
|
||||
Ending Points with Worst Slack
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
--------------------------------------------------------------------------------------------------
|
||||
LEDEN System FD1S3AX D LEDENe_0 16.089 12.918
|
||||
LEDEN System FD1S3AX D LEDENe_0 16.089 12.918
|
||||
n8MEGEN System FD1S3AX D n8MEGENe_0 16.089 12.918
|
||||
n8MEGEN System FD1S3AX D n8MEGENe_0 16.089 12.918
|
||||
wb_cyc_stb System FD1P3IX SP wb_cyc_stb_2_sqmuxa_i_0_0 15.528 14.912
|
||||
==================================================================================================
|
||||
|
||||
|
||||
@@ -1234,7 +1238,7 @@ Mapper successful!
|
||||
***********************
|
||||
|
||||
|
||||
|
||||
Path information for path number 1:
|
||||
Requested Period: 16.000
|
||||
- Setup time: -0.089
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
|
||||
@@ -22,7 +22,7 @@ Setup and Hold Report
|
||||
}
|
||||
h3 {
|
||||
font-weight: bold;
|
||||
margin-top: 12px;
|
||||
margin-top: 12px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
@@ -50,8 +50,8 @@ Report: 150.150MHz is the maximum frequency for this preference.
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
text-align:left;
|
||||
font-size:78%;
|
||||
}
|
||||
font-size:78%;
|
||||
}
|
||||
td {
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
@@ -69,28 +69,28 @@ Passed: The following path meets requirements by 163.025ns (weighted slack = 326
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
}
|
||||
.fail
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
{
|
||||
{
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
}
|
||||
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Map_Twr"></A><B><U><big>Map TRACE Report</big></U></B>
|
||||
}
|
||||
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Map_Twr"></A><B><U><big>Map TRACE Report</big></U></B>
|
||||
|
||||
Loading design for application trce from file ram2gs_lcmxo2_640hc_impl1_map.ncd.
|
||||
Design name: RAM2GS
|
||||
@@ -141,48 +141,46 @@ Report: 150.150MHz is the maximum frequency for this preference.
|
||||
BLOCK RESETPATHS
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
|
||||
|
||||
================================================================================
|
||||
<A name="map_twr_pref_0_0"></A>Preference: FREQUENCY PORT "PHI2" 2.900000 MHz ;
|
||||
147 items scored, 0 timing errors detected.
|
||||
147 items scored, 0 timing errors detected.
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
Passed: The following path meets requirements by 163.025ns (weighted slack = 326.050ns)
|
||||
|
||||
Passed: The following path meets requirements by 163.025ns (weighted slack = 326.050ns)
|
||||
|
||||
Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-)
|
||||
|
||||
|
||||
Source: FF Q Bank_0io[1] (from PHI2_c +)
|
||||
Destination: FF Data in CmdEnable (to PHI2_c -)
|
||||
|
||||
Delay: 9.223ns (33.1% logic, 66.9% route), 6 logic levels.
|
||||
Delay: 9.223ns (33.1% logic, 66.9% route), 6 logic levels.
|
||||
|
||||
Constraint Details:
|
||||
Constraint Details:
|
||||
|
||||
9.223ns physical path delay Din[1]_MGIOL to SLICE_17 meets
|
||||
172.414ns delay constraint less
|
||||
0.166ns DIN_SET requirement (totaling 172.248ns) by 163.025ns
|
||||
0.166ns DIN_SET requirement (totaling 172.248ns) by 163.025ns
|
||||
|
||||
Physical Path Details:
|
||||
|
||||
Data path Din[0]_MGIOL to SLICE_17:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
C2INP_DEL --- 0.577 *[0]_MGIOL.CLK to *n[0]_MGIOL.IN Din[0]_MGIOL (from PHI2_c)
|
||||
ROUTE 1 e 1.234 *n[0]_MGIOL.IN to SLICE_93.A0 Bank[0]
|
||||
CTOF_DEL --- 0.495 SLICE_93.A0 to SLICE_93.F0 SLICE_93
|
||||
ROUTE 1 e 1.234 SLICE_93.F0 to SLICE_84.C0 un1_CmdEnable20_0_0_o3_10
|
||||
CTOF_DEL --- 0.495 SLICE_84.C0 to SLICE_84.F0 SLICE_84
|
||||
ROUTE 6 e 1.234 SLICE_84.F0 to SLICE_11.C1 un1_CmdEnable20_0_0_o3
|
||||
CTOF_DEL --- 0.495 SLICE_11.C1 to SLICE_11.F1 SLICE_11
|
||||
ROUTE 3 e 1.234 SLICE_11.F1 to SLICE_33.B0 CmdEnable16
|
||||
CTOF_DEL --- 0.495 SLICE_33.B0 to SLICE_33.F0 SLICE_33
|
||||
ROUTE 1 e 1.234 SLICE_33.F0 to SLICE_17.D0 CmdEnable_0_sqmuxa
|
||||
|
||||
Data path Din[1]_MGIOL to SLICE_17:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
C2INP_DEL --- 0.577 *[1]_MGIOL.CLK to *n[1]_MGIOL.IN Din[1]_MGIOL (from PHI2_c)
|
||||
ROUTE 1 e 1.234 *n[1]_MGIOL.IN to SLICE_90.A0 Bank[1]
|
||||
CTOF_DEL --- 0.495 SLICE_90.A0 to SLICE_90.F0 SLICE_90
|
||||
ROUTE 1 e 1.234 SLICE_90.F0 to SLICE_80.C0 un1_CmdEnable20_0_0_o2_10
|
||||
CTOF_DEL --- 0.495 SLICE_80.C0 to SLICE_80.F0 SLICE_80
|
||||
ROUTE 6 e 1.234 SLICE_80.F0 to SLICE_11.C1 N_367
|
||||
CTOF_DEL --- 0.495 SLICE_11.C1 to SLICE_11.F1 SLICE_11
|
||||
ROUTE 3 e 1.234 SLICE_11.F1 to SLICE_33.B0 CmdEnable16
|
||||
CTOF_DEL --- 0.495 SLICE_33.B0 to SLICE_33.F0 SLICE_33
|
||||
ROUTE 1 e 0.001 SLICE_17.F0 to SLICE_17.DI0 CmdEnable_s (to PHI2_c)
|
||||
ROUTE 1 e 1.234 SLICE_33.F0 to SLICE_17.D0 CmdEnable_0_sqmuxa
|
||||
CTOF_DEL --- 0.495 SLICE_17.D0 to SLICE_17.F0 SLICE_17
|
||||
9.223 (33.1% logic, 66.9% route), 6 logic levels.
|
||||
ROUTE 1 e 0.001 SLICE_17.F0 to SLICE_17.DI0 CmdEnable_s (to PHI2_c)
|
||||
--------
|
||||
9.223 (33.1% logic, 66.9% route), 6 logic levels.
|
||||
|
||||
@@ -196,7 +194,7 @@ FREQUENCY PORT "nCCAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0
|
||||
|
||||
|
||||
Passed: The following path meets requirements by 338.168ns
|
||||
Logical Details: Cell type Pin name Component name
|
||||
The internal maximum frequency of the following component is 150.150 MHz
|
||||
|
||||
Logical Details: Cell type Pin name Component name
|
||||
|
||||
@@ -221,7 +219,7 @@ Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11
|
||||
Destination: PIO PAD nCRAS
|
||||
|
||||
Delay: 6.660ns -- based on Minimum Pulse Width
|
||||
|
||||
|
||||
Report: 150.150MHz is the maximum frequency for this preference.
|
||||
|
||||
|
||||
@@ -251,11 +249,11 @@ Clock Domain: PHI2_c Source: PHI2.PAD Loads: 21
|
||||
Data path SLICE_16 to nRWE_MGIOL:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
CTOF_DEL --- 0.495 SLICE_74.A1 to SLICE_74.F1 SLICE_74
|
||||
REG_DEL --- 0.452 SLICE_16.CLK to SLICE_16.Q0 SLICE_16 (from RCLK_c)
|
||||
ROUTE 12 e 1.234 SLICE_16.Q0 to SLICE_62.D1 CO0
|
||||
CTOF_DEL --- 0.495 SLICE_62.D1 to SLICE_62.F1 SLICE_62
|
||||
ROUTE 6 e 1.234 SLICE_62.F1 to SLICE_79.A1 IS_0_sqmuxa_0_o2
|
||||
CTOF_DEL --- 0.495 SLICE_61.B1 to SLICE_61.F1 SLICE_61
|
||||
CTOF_DEL --- 0.495 SLICE_79.A1 to SLICE_79.F1 SLICE_79
|
||||
ROUTE 2 e 1.234 SLICE_79.F1 to SLICE_28.D1 IS_0_sqmuxa_0_o3
|
||||
CTOF_DEL --- 0.495 SLICE_28.D1 to SLICE_28.F1 SLICE_28
|
||||
ROUTE 1 e 1.234 SLICE_28.F1 to SLICE_68.D1 nRWE_s_i_a2_1_0
|
||||
@@ -280,7 +278,7 @@ Report level: verbose report, limited to 1 item per preference
|
||||
| | |
|
||||
FREQUENCY PORT "nCRAS" 2.900000 MHz ; | 2.900 MHz| 150.150 MHz| 0
|
||||
| | |
|
||||
FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 100.492 MHz| 7
|
||||
FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 95.383 MHz| 6
|
||||
| | |
|
||||
----------------------------------------------------------------------------
|
||||
|
||||
@@ -336,7 +334,7 @@ ROUTE 1 e 0.001 SLICE_10.F0 to SLICE_10.DI0 ADSubmitted_r_0_0 (to
|
||||
Cumulative negative slack: 0
|
||||
|
||||
Constraints cover 1038 paths, 4 nets, and 732 connections (72.40% coverage)
|
||||
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
<A name="Map_Twr_hold"></A><B><U><big>Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454</big></U></B>
|
||||
Sat Nov 18 02:05:54 2023
|
||||
@@ -402,7 +400,7 @@ Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 11
|
||||
ROUTE 2 e 0.199 SLICE_10.Q0 to SLICE_10.A0 ADSubmitted
|
||||
CTOF_DEL --- 0.101 SLICE_10.A0 to SLICE_10.F0 SLICE_10
|
||||
ROUTE 1 e 0.001 SLICE_10.F0 to SLICE_10.DI0 ADSubmitted_r_0_0 (to PHI2_c)
|
||||
--------
|
||||
--------
|
||||
0.434 (53.9% logic, 46.1% route), 2 logic levels.
|
||||
|
||||
|
||||
@@ -432,7 +430,7 @@ Clock Domain: PHI2_c Source: PHI2.PAD Loads: 21
|
||||
Destination: FF Data in CASr2 (to RCLK_c +)
|
||||
|
||||
Delay: 0.332ns (40.1% logic, 59.9% route), 1 logic levels.
|
||||
|
||||
|
||||
Constraint Details:
|
||||
|
||||
0.332ns physical path delay SLICE_12 to SLICE_12 meets
|
||||
|
||||
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
@@ -2,13 +2,15 @@
|
||||
Starting: parse design source files
|
||||
(VERI-1482) Analyzing Verilog file 'C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v'
|
||||
(VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v'
|
||||
WARNING - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(23,47-23,52) (VERI-1875) identifier 'Ready' is used before its declaration
|
||||
(VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-640HC/REFB.v'
|
||||
INFO - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(1,8-1,14) (VERI-1018) compiling module 'RAM2GS'
|
||||
INFO - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(1,1-615,10) (VERI-9000) elaborating module 'RAM2GS'
|
||||
INFO - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.v(1,1-618,10) (VERI-9000) elaborating module 'RAM2GS'
|
||||
INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1601,1-1606,10) (VERI-9000) elaborating module 'ODDRXE_uniq_1'
|
||||
INFO - //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-640HC/REFB.v(8,1-113,10) (VERI-9000) elaborating module 'REFB_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1120,1-1122,10) (VERI-9000) elaborating module 'VHI_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1124,1-1126,10) (VERI-9000) elaborating module 'VLO_uniq_1'
|
||||
INFO - C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo2.v(1800,1-1872,10) (VERI-9000) elaborating module 'EFB_uniq_1'
|
||||
Done: design load finished with (0) errors, and (0) warnings
|
||||
Done: design load finished with (0) errors, and (1) warnings
|
||||
|
||||
</PRE></BODY></HTML>
|
||||
@@ -29,7 +29,7 @@ Performance Hardware Data Status: Final Version 34.4.
|
||||
// Package: TQFP100
|
||||
// ncd File: ram2gs_lcmxo2_640hc_impl1.ncd
|
||||
// Version: Diamond (64-bit) 3.12.1.454
|
||||
// Written on Thu Sep 21 05:40:03 2023
|
||||
// Written on Sat Nov 18 02:06:14 2023
|
||||
// M: Minimum Performance Grade
|
||||
// iotiming RAM2GS_LCMXO2_640HC_impl1.ncd RAM2GS_LCMXO2_640HC_impl1.prf -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-640HC/promote.xml
|
||||
|
||||
@@ -41,94 +41,95 @@ Worst Case Results across Performance Grades (M, 6, 5, 4):
|
||||
|
||||
Port Clock Edge Setup Performance_Grade Hold Performance_Grade
|
||||
----------------------------------------------------------------------
|
||||
CROW[0] nCRAS F 2.429 4 -0.163 M
|
||||
CROW[1] nCRAS F 1.927 4 -0.005 M
|
||||
Din[0] PHI2 F 5.424 4 3.636 4
|
||||
Din[0] nCCAS F 1.913 4 -0.130 M
|
||||
Din[1] PHI2 F 5.162 4 3.516 4
|
||||
Din[1] nCCAS F 2.007 4 -0.156 M
|
||||
Din[2] PHI2 F 5.078 4 3.516 4
|
||||
Din[2] nCCAS F 0.876 4 0.346 4
|
||||
Din[3] PHI2 F 6.152 4 3.516 4
|
||||
Din[3] nCCAS F 0.245 4 0.869 4
|
||||
Din[4] PHI2 F 5.240 4 3.516 4
|
||||
Din[4] nCCAS F 0.714 4 0.460 4
|
||||
Din[5] PHI2 F 6.035 4 3.516 4
|
||||
Din[5] nCCAS F 0.751 4 0.419 4
|
||||
Din[6] PHI2 F 4.496 4 3.636 4
|
||||
Din[6] nCCAS F 1.518 4 -0.020 M
|
||||
Din[7] PHI2 F 4.936 4 3.636 4
|
||||
Din[7] nCCAS F 1.852 4 -0.081 M
|
||||
MAin[0] PHI2 F 5.207 4 0.531 4
|
||||
MAin[0] nCRAS F 1.658 4 0.036 M
|
||||
MAin[1] PHI2 F 3.450 4 0.460 4
|
||||
MAin[1] nCRAS F 2.014 4 -0.043 M
|
||||
MAin[2] PHI2 F 7.941 4 -0.604 M
|
||||
MAin[2] nCRAS F 1.001 4 0.498 4
|
||||
MAin[3] PHI2 F 8.770 4 -0.865 M
|
||||
MAin[3] nCRAS F 2.190 4 -0.151 M
|
||||
MAin[4] PHI2 F 9.575 4 -1.072 M
|
||||
MAin[4] nCRAS F 1.331 4 0.186 4
|
||||
MAin[5] PHI2 F 9.093 4 -0.925 M
|
||||
MAin[5] nCRAS F 1.329 4 0.186 4
|
||||
MAin[6] PHI2 F 9.450 4 -1.036 M
|
||||
MAin[6] nCRAS F 1.323 4 0.191 4
|
||||
MAin[7] PHI2 F 8.247 4 -0.706 M
|
||||
MAin[7] nCRAS F 1.258 4 0.267 4
|
||||
MAin[8] nCRAS F 0.994 4 0.504 4
|
||||
MAin[9] nCRAS F 0.614 4 0.830 4
|
||||
CROW[0] nCRAS F 2.058 4 -0.092 M
|
||||
CROW[1] nCRAS F 2.269 4 -0.117 M
|
||||
Din[0] PHI2 F 7.415 4 3.636 4
|
||||
Din[0] nCCAS F 2.760 4 -0.330 M
|
||||
Din[1] PHI2 F 6.384 4 3.516 4
|
||||
Din[1] nCCAS F 1.112 4 0.128 4
|
||||
Din[2] PHI2 F 6.717 4 3.516 4
|
||||
Din[2] nCCAS F 0.113 4 0.982 4
|
||||
Din[3] PHI2 F 5.806 4 3.516 4
|
||||
Din[3] nCCAS F 1.105 4 0.134 4
|
||||
Din[4] PHI2 F 6.853 4 3.516 4
|
||||
Din[4] nCCAS F 1.538 4 -0.010 M
|
||||
Din[5] PHI2 F 7.478 4 3.516 4
|
||||
Din[5] nCCAS F 0.714 4 0.460 4
|
||||
Din[6] PHI2 F 5.667 4 3.636 4
|
||||
Din[6] nCCAS F 1.504 4 -0.015 M
|
||||
Din[7] PHI2 F 5.567 4 3.636 4
|
||||
Din[7] nCCAS F 1.063 4 0.194 4
|
||||
MAin[0] PHI2 F 4.483 4 0.742 4
|
||||
MAin[0] nCRAS F 1.204 4 0.334 4
|
||||
MAin[1] PHI2 F 4.440 4 0.520 4
|
||||
MAin[1] nCRAS F 1.245 4 0.313 4
|
||||
MAin[2] PHI2 F 9.497 4 -0.729 M
|
||||
MAin[2] nCRAS F 0.758 4 0.714 4
|
||||
MAin[3] PHI2 F 9.534 4 -0.752 M
|
||||
MAin[3] nCRAS F 0.454 4 0.874 4
|
||||
MAin[4] PHI2 F 7.882 4 -0.326 M
|
||||
MAin[4] nCRAS F 0.832 4 0.632 4
|
||||
MAin[5] PHI2 F 10.136 4 -0.894 M
|
||||
MAin[5] nCRAS F 0.830 4 0.632 4
|
||||
MAin[6] PHI2 F 8.759 4 -0.555 M
|
||||
MAin[6] nCRAS F 1.259 4 0.268 4
|
||||
MAin[7] PHI2 F 8.430 4 -0.434 M
|
||||
MAin[7] nCRAS F 0.881 4 0.510 4
|
||||
MAin[8] nCRAS F 1.066 4 0.422 4
|
||||
MAin[9] nCRAS F 0.723 4 0.746 4
|
||||
PHI2 RCLK R -0.005 M 2.116 4
|
||||
nCCAS RCLK R 3.191 4 -0.531 M
|
||||
nCCAS nCRAS F 3.195 4 -0.341 M
|
||||
nCRAS RCLK R 2.797 4 -0.402 M
|
||||
nFWE PHI2 F 8.238 4 -0.666 M
|
||||
nFWE nCRAS F 1.140 4 0.400 4
|
||||
nCCAS RCLK R 3.614 4 -0.637 M
|
||||
nCCAS nCRAS F 3.629 4 -0.447 M
|
||||
nCRAS RCLK R 3.040 4 -0.485 M
|
||||
nFWE PHI2 F 8.830 4 -0.567 M
|
||||
nFWE nCRAS F 0.454 4 0.874 4
|
||||
|
||||
|
||||
// Clock to Output Delay
|
||||
|
||||
Port Clock Edge Max_Delay Performance_Grade Min_Delay Performance_Grade
|
||||
Port Clock Edge Max_Delay Performance_Grade Min_Delay Performance_Grade
|
||||
------------------------------------------------------------------------
|
||||
LED RCLK R 10.962 4 3.218 M
|
||||
LED nCRAS F 10.815 4 3.159 M
|
||||
RA[0] RCLK R 10.143 4 3.128 M
|
||||
RA[0] nCRAS F 11.178 4 3.358 M
|
||||
RA[10] RCLK R 7.578 4 2.578 M
|
||||
RA[11] PHI2 R 9.098 4 3.021 M
|
||||
RA[1] RCLK R 10.676 4 3.248 M
|
||||
RA[1] nCRAS F 11.215 4 3.370 M
|
||||
RA[2] RCLK R 11.199 4 3.402 M
|
||||
RA[2] nCRAS F 11.518 4 3.451 M
|
||||
RA[3] RCLK R 10.446 4 3.209 M
|
||||
RA[3] nCRAS F 11.264 4 3.364 M
|
||||
RA[4] RCLK R 10.446 4 3.209 M
|
||||
RA[4] nCRAS F 11.484 4 3.438 M
|
||||
RA[5] RCLK R 11.199 4 3.402 M
|
||||
RA[5] nCRAS F 11.264 4 3.364 M
|
||||
RA[6] RCLK R 11.424 4 3.444 M
|
||||
RA[6] nCRAS F 11.388 4 3.388 M
|
||||
RA[7] RCLK R 11.112 4 3.370 M
|
||||
RA[7] nCRAS F 11.608 4 3.487 M
|
||||
RA[8] RCLK R 10.916 4 3.308 M
|
||||
RA[8] nCRAS F 11.380 4 3.415 M
|
||||
RA[9] RCLK R 11.115 4 3.362 M
|
||||
RA[9] nCRAS F 11.201 4 3.380 M
|
||||
RBA[0] nCRAS F 8.645 4 2.828 M
|
||||
RBA[1] nCRAS F 8.645 4 2.828 M
|
||||
RCKE RCLK R 8.593 4 2.793 M
|
||||
RDQMH RCLK R 10.909 4 3.327 M
|
||||
RDQML RCLK R 10.348 4 3.207 M
|
||||
RD[0] nCCAS F 8.761 4 2.984 M
|
||||
RD[1] nCCAS F 8.761 4 2.984 M
|
||||
RD[2] nCCAS F 8.761 4 2.984 M
|
||||
RD[3] nCCAS F 8.761 4 2.984 M
|
||||
RD[4] nCCAS F 8.761 4 2.984 M
|
||||
RD[5] nCCAS F 8.761 4 2.984 M
|
||||
RD[6] nCCAS F 8.761 4 2.984 M
|
||||
RD[7] nCCAS F 8.761 4 2.984 M
|
||||
nRCAS RCLK R 7.578 4 2.578 M
|
||||
nRCS RCLK R 7.578 4 2.578 M
|
||||
nRRAS RCLK R 7.578 4 2.578 M
|
||||
nRWE RCLK R 7.558 4 2.585 M
|
||||
LED RCLK R 11.284 4 2.893 M
|
||||
LED nCRAS F 11.111 4 3.244 M
|
||||
RA[0] RCLK R 10.888 4 3.313 M
|
||||
RA[0] nCRAS F 11.968 4 3.554 M
|
||||
RA[10] RCLK R 7.578 4 2.578 M
|
||||
RA[11] PHI2 R 9.098 4 3.021 M
|
||||
RA[1] RCLK R 11.272 4 3.408 M
|
||||
RA[1] nCRAS F 11.484 4 3.438 M
|
||||
RA[2] RCLK R 11.749 4 3.528 M
|
||||
RA[2] nCRAS F 11.267 4 3.385 M
|
||||
RA[3] RCLK R 11.291 4 3.431 M
|
||||
RA[3] nCRAS F 11.596 4 3.449 M
|
||||
RA[4] RCLK R 10.696 4 3.276 M
|
||||
RA[4] nCRAS F 11.720 4 3.503 M
|
||||
RA[5] RCLK R 11.228 4 3.401 M
|
||||
RA[5] nCRAS F 11.364 4 3.427 M
|
||||
RA[6] RCLK R 10.132 4 3.112 M
|
||||
RA[6] nCRAS F 10.958 4 3.284 M
|
||||
RA[7] RCLK R 11.404 4 3.446 M
|
||||
RA[7] nCRAS F 11.918 4 3.561 M
|
||||
RA[8] RCLK R 10.488 4 3.236 M
|
||||
RA[8] nCRAS F 11.512 4 3.463 M
|
||||
RA[9] RCLK R 10.941 4 3.329 M
|
||||
RA[9] nCRAS F 11.074 4 3.356 M
|
||||
RBA[0] nCRAS F 8.645 4 2.828 M
|
||||
RBA[1] nCRAS F 8.625 4 2.835 M
|
||||
RCKE RCLK R 9.454 4 3.006 M
|
||||
RCLKout RCLK R 6.857 4 1.980 M
|
||||
RDQMH RCLK R 9.846 4 3.033 M
|
||||
RDQML RCLK R 9.741 4 3.008 M
|
||||
RD[0] nCCAS F 8.761 4 2.984 M
|
||||
RD[1] nCCAS F 8.761 4 2.984 M
|
||||
RD[2] nCCAS F 8.761 4 2.984 M
|
||||
RD[3] nCCAS F 8.761 4 2.984 M
|
||||
RD[4] nCCAS F 8.761 4 2.984 M
|
||||
RD[5] nCCAS F 8.761 4 2.984 M
|
||||
RD[6] nCCAS F 8.761 4 2.984 M
|
||||
RD[7] nCCAS F 8.761 4 2.984 M
|
||||
nRCAS RCLK R 7.578 4 2.578 M
|
||||
nRCS RCLK R 7.578 4 2.578 M
|
||||
nRRAS RCLK R 7.578 4 2.578 M
|
||||
nRWE RCLK R 7.558 4 2.585 M
|
||||
WARNING: you must also run trce with hold speed: 4
|
||||
WARNING: you must also run trce with setup speed: M
|
||||
|
||||
@@ -1,3 +1,3 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<userSetting name="//Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-640HC/promote.xml" version="Diamond (64-bit) 3.12.1.454" date="Thu Sep 21 05:40:50 2023" vendor="Lattice Semiconductor Corporation" >
|
||||
<userSetting name="//Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-640HC/promote.xml" version="Diamond (64-bit) 3.12.1.454" date="Sun Nov 19 19:55:57 2023" vendor="Lattice Semiconductor Corporation" >
|
||||
</userSetting>
|
||||
|
||||
@@ -3,7 +3,7 @@
|
||||
<ReportView version="2.0">
|
||||
<Implement name="impl1">
|
||||
<ToolReport id="tooldec" path="" status="0"/>
|
||||
<ToolReport id="toolhle_genhierarchy" path="Y:/Repos/RAM2GS/CPLD/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html" status="1"/>
|
||||
<ToolReport id="toolhle_genhierarchy" path="//Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO2-640HC/impl1/hdla_gen_hierarchy.html" status="1"/>
|
||||
<ToolReport id="toolpio" path="" status="2"/>
|
||||
<ToolReport id="toolsso" path="" status="2"/>
|
||||
</Implement>
|
||||
|
||||
70
CPLD/LCMXO256C/RAM2GS_LCMXO256C_tcl.html
Normal file
70
CPLD/LCMXO256C/RAM2GS_LCMXO256C_tcl.html
Normal file
@@ -0,0 +1,70 @@
|
||||
<HTML>
|
||||
<HEAD><TITLE>Lattice TCL Log</TITLE>
|
||||
<STYLE TYPE="text/css">
|
||||
<!--
|
||||
body,pre{
|
||||
font-family:'Courier New', monospace;
|
||||
color: #000000;
|
||||
font-size:88%;
|
||||
background-color: #ffffff;
|
||||
}
|
||||
h1 {
|
||||
font-weight: bold;
|
||||
margin-top: 24px;
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
margin-top: 18px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.90em;
|
||||
}
|
||||
h3 {
|
||||
font-weight: bold;
|
||||
margin-top: 12px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
p {
|
||||
font-size:78%;
|
||||
}
|
||||
P.Table {
|
||||
margin-top: 4px;
|
||||
margin-bottom: 4px;
|
||||
margin-right: 4px;
|
||||
margin-left: 4px;
|
||||
}
|
||||
table
|
||||
{
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
border-collapse: collapse;
|
||||
}
|
||||
th {
|
||||
font-weight:bold;
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
text-align:left;
|
||||
font-size:78%;
|
||||
}
|
||||
td {
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
@@ -1,6 +1,6 @@
|
||||
NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation *
|
||||
NOTE All Rights Reserved *
|
||||
NOTE DATE CREATED: Thu Sep 21 05:38:47 2023 *
|
||||
NOTE DATE CREATED: Sat Jan 06 06:25:16 2024 *
|
||||
NOTE DESIGN NAME: RAM2GS *
|
||||
NOTE DEVICE NAME: LCMXO256C-3TQFP100 *
|
||||
NOTE PIN ASSIGNMENTS *
|
||||
|
||||
@@ -15,12 +15,12 @@ I/O cells: 67
|
||||
FD1S3JX 3 100.0
|
||||
GSR 1 100.0
|
||||
IB 26 100.0
|
||||
INV 8 100.0
|
||||
INV 7 100.0
|
||||
OB 33 100.0
|
||||
ORCALUT4 119 100.0
|
||||
PFUMX 2 100.0
|
||||
ORCALUT4 133 100.0
|
||||
PFUMX 1 100.0
|
||||
PUR 1 100.0
|
||||
VHI 1 100.0
|
||||
VLO 1 100.0
|
||||
|
||||
TOTAL 301
|
||||
TOTAL 313
|
||||
|
||||
@@ -4,7 +4,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Thu Sep 21 05:38:46 2023
|
||||
Sat Jan 06 06:25:15 2024
|
||||
|
||||
|
||||
Command: bitgen -w -g ES:No -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf
|
||||
|
||||
Binary file not shown.
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
@@ -15,18 +15,18 @@ Target Vendor: LATTICE
|
||||
Target Device: LCMXO256CTQFP100
|
||||
Target Performance: 3
|
||||
Mapper: mj5g00, version: Diamond (64-bit) 3.12.1.454
|
||||
Mapped on: 09/21/23 05:38:29
|
||||
Mapped on: 01/06/24 06:24:57
|
||||
|
||||
Design Summary
|
||||
--------------
|
||||
|
||||
Number of PFU registers: 92 out of 256 (36%)
|
||||
Number of SLICEs: 69 out of 128 (54%)
|
||||
SLICEs as Logic/ROM: 69 out of 128 (54%)
|
||||
Number of SLICEs: 76 out of 128 (59%)
|
||||
SLICEs as Logic/ROM: 76 out of 128 (59%)
|
||||
SLICEs as RAM: 0 out of 64 (0%)
|
||||
SLICEs as Carry: 9 out of 128 (7%)
|
||||
Number of LUT4s: 137 out of 256 (54%)
|
||||
Number used as logic LUTs: 119
|
||||
Number of LUT4s: 151 out of 256 (59%)
|
||||
Number used as logic LUTs: 133
|
||||
Number used as distributed RAM: 0
|
||||
Number used as ripple logic: 18
|
||||
Number used as shift registers: 0
|
||||
@@ -49,37 +49,38 @@ Design Summary
|
||||
Net nCCAS_c: 4 loads, 0 rising, 4 falling (Driver: PIO nCCAS )
|
||||
Number of Clock Enables: 5
|
||||
Net XOR8MEG18: 3 loads, 3 LSLICEs
|
||||
Net N_31: 1 loads, 1 LSLICEs
|
||||
Net N_33: 1 loads, 1 LSLICEs
|
||||
Net N_159_i: 2 loads, 2 LSLICEs
|
||||
Net N_24: 1 loads, 1 LSLICEs
|
||||
Net N_26: 1 loads, 1 LSLICEs
|
||||
Net N_153_i: 2 loads, 2 LSLICEs
|
||||
Net CmdUFMCLK_1_sqmuxa: 2 loads, 2 LSLICEs
|
||||
Number of LSRs: 4
|
||||
Number of LSRs: 5
|
||||
Net RA10s_i: 1 loads, 1 LSLICEs
|
||||
Net nRRAS_0_sqmuxa: 1 loads, 1 LSLICEs
|
||||
Net RASr2: 2 loads, 2 LSLICEs
|
||||
Net RASr2: 1 loads, 1 LSLICEs
|
||||
Net Ready_fast: 7 loads, 7 LSLICEs
|
||||
Net RCKEEN_8_u_0_1_a1_0: 1 loads, 1 LSLICEs
|
||||
Number of nets driven by tri-state buffers: 0
|
||||
Top 10 highest fanout non-clock nets:
|
||||
|
||||
Page 1
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 09/21/23 05:38:29
|
||||
Design: RAM2GS Date: 01/06/24 06:24:57
|
||||
|
||||
Design Summary (cont)
|
||||
---------------------
|
||||
Net InitReady: 16 loads
|
||||
Net Ready: 16 loads
|
||||
Net S[1]: 13 loads
|
||||
Net CO0: 12 loads
|
||||
Top 10 highest fanout non-clock nets:
|
||||
Net Ready: 18 loads
|
||||
Net InitReady: 17 loads
|
||||
Net S[1]: 17 loads
|
||||
Net CO0: 16 loads
|
||||
Net RASr2: 13 loads
|
||||
Net nRowColSel: 12 loads
|
||||
Net RASr2: 11 loads
|
||||
Net Din_c[5]: 10 loads
|
||||
Net Din_c[3]: 9 loads
|
||||
Net IS[0]: 9 loads
|
||||
Net MAin_c[1]: 8 loads
|
||||
Net IS[1]: 8 loads
|
||||
|
||||
|
||||
|
||||
@@ -125,17 +126,17 @@ IO (PIO) Attributes
|
||||
| nRWE | OUTPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| RCKE | OUTPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
|
||||
Page 2
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 09/21/23 05:38:29
|
||||
Design: RAM2GS Date: 01/06/24 06:24:57
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| RCLK | INPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| nRCS | OUTPUT | LVCMOS33 | | |
|
||||
@@ -191,17 +192,17 @@ IO (PIO) Attributes (cont)
|
||||
| nCCAS | INPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| Dout[7] | OUTPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
|
||||
Page 3
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 09/21/23 05:38:29
|
||||
Design: RAM2GS Date: 01/06/24 06:24:57
|
||||
|
||||
IO (PIO) Attributes (cont)
|
||||
--------------------------
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| Dout[6] | OUTPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| Dout[5] | OUTPUT | LVCMOS33 | | |
|
||||
@@ -258,13 +259,12 @@ IO (PIO) Attributes (cont)
|
||||
|
||||
|
||||
|
||||
|
||||
Page 4
|
||||
|
||||
|
||||
|
||||
|
||||
Design: RAM2GS Date: 09/21/23 05:38:29
|
||||
Design: RAM2GS Date: 01/06/24 06:24:57
|
||||
|
||||
Removed logic
|
||||
-------------
|
||||
@@ -275,7 +275,6 @@ Signal nFWE_c_i was merged into signal nFWE_c
|
||||
Signal nCRAS_c_i_0 was merged into signal nCRAS_c
|
||||
Signal nCCAS_c_i was merged into signal nCCAS_c
|
||||
Signal Ready_fast_i was merged into signal Ready_fast
|
||||
Signal IS_i[0] was merged into signal IS[0]
|
||||
Signal RASr2_i was merged into signal RASr2
|
||||
Signal XOR8MEG.CN was merged into signal PHI2_c
|
||||
Signal GND undriven or does not drive anything - clipped.
|
||||
@@ -295,8 +294,7 @@ Block nFWE_pad_RNI420B was optimized away.
|
||||
Block RASr_RNO was optimized away.
|
||||
Block nCCAS_pad_RNISUR8 was optimized away.
|
||||
Block Ready_fast_RNI29NA was optimized away.
|
||||
Block IS_i[0] was optimized away.
|
||||
Block RASr2_RNIAFR1 was optimized away.
|
||||
Block S_RNO[1] was optimized away.
|
||||
Block XOR8MEG.CN was optimized away.
|
||||
Block GND was optimized away.
|
||||
Block VCC was optimized away.
|
||||
@@ -325,6 +323,8 @@ Run Time and Memory Usage
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
Page 5
|
||||
|
||||
|
||||
|
||||
@@ -6,7 +6,7 @@ Performance Grade: 3
|
||||
PACKAGE: TQFP100
|
||||
Package Status: Final Version 1.19
|
||||
|
||||
Thu Sep 21 05:38:42 2023
|
||||
Sat Jan 06 06:25:11 2024
|
||||
|
||||
Pinout by Port Name:
|
||||
+-----------+----------+---------------+------+----------------------------------+
|
||||
@@ -267,5 +267,5 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Thu Sep 21 05:38:42 2023
|
||||
Sat Jan 06 06:25:11 2024
|
||||
|
||||
|
||||
@@ -1,5 +1,5 @@
|
||||
SCHEMATIC START ;
|
||||
# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Thu Sep 21 05:38:29 2023
|
||||
# map: version Diamond (64-bit) 3.12.1.454 -- WARNING: Map write only section -- Sat Jan 06 06:24:58 2024
|
||||
|
||||
SYSCONFIG INBUF=ON CONFIG_SECURE=OFF ;
|
||||
LOCATE COMP "RD[0]" SITE "64" ;
|
||||
|
||||
@@ -3,7 +3,7 @@
|
||||
#OS: Windows 8 6.2
|
||||
#Hostname: ZANEMACWIN11
|
||||
|
||||
# Thu Sep 21 05:38:16 2023
|
||||
# Sat Jan 6 06:24:47 2024
|
||||
|
||||
#Implementation: impl1
|
||||
|
||||
@@ -50,19 +50,22 @@ Synopsys Verilog Compiler, Version comp202103synp2, Build 093R, Built Aug 10 202
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_pipes.svh" (library snps_haps)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-SPI.v" (library work)
|
||||
Verilog syntax check successful!
|
||||
|
||||
Compiler output is up to date. No re-compile necessary
|
||||
|
||||
Selecting top level module RAM2GS
|
||||
@N: CG364 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-SPI.v":1:7:1:12|Synthesizing module RAM2GS in library work.
|
||||
Running optimization stage 1 on RAM2GS .......
|
||||
Finished optimization stage 1 on RAM2GS (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 100MB)
|
||||
Finished optimization stage 1 on RAM2GS (CPU Time 0h:00m:00s, Memory Used current: 100MB peak: 100MB)
|
||||
Running optimization stage 2 on RAM2GS .......
|
||||
Finished optimization stage 2 on RAM2GS (CPU Time 0h:00m:00s, Memory Used current: 100MB peak: 101MB)
|
||||
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 100MB peak: 101MB)
|
||||
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 96MB peak: 97MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Thu Sep 21 05:38:17 2023
|
||||
# Sat Jan 6 06:24:47 2024
|
||||
|
||||
###########################################################]
|
||||
###########################################################[
|
||||
@@ -89,7 +92,7 @@ At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s;
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Thu Sep 21 05:38:17 2023
|
||||
# Sat Jan 6 06:24:48 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@@ -104,7 +107,7 @@ At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Thu Sep 21 05:38:17 2023
|
||||
# Sat Jan 6 06:24:48 2024
|
||||
|
||||
###########################################################]
|
||||
###########################################################[
|
||||
@@ -125,18 +128,17 @@ Implementation : impl1
|
||||
Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
File \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO256C\impl1\synwork\RAM2GS_LCMXO256C_impl1_comp.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 98MB)
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Thu Sep 21 05:38:18 2023
|
||||
# Sat Jan 6 06:24:49 2024
|
||||
|
||||
###########################################################]
|
||||
Premap Report
|
||||
|
||||
# Thu Sep 21 05:38:19 2023
|
||||
# Sat Jan 6 06:24:49 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
@@ -158,7 +160,7 @@ Synopsys Lattice Technology Pre-mapping, Version map202103lat, Build 070R, Built
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB)
|
||||
|
||||
|
||||
Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 131MB peak: 140MB)
|
||||
Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 132MB peak: 140MB)
|
||||
|
||||
Reading constraint file: \\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS.sdc
|
||||
@L: \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO256C\impl1\RAM2GS_LCMXO256C_impl1_scck.rpt
|
||||
@@ -186,7 +188,6 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h
|
||||
@N: FX493 |Applying initial value "0" on instance CmdLEDEN.
|
||||
@N: FX493 |Applying initial value "0" on instance Cmdn8MEGEN.
|
||||
@N: FX493 |Applying initial value "1" on instance nRCS.
|
||||
@N: FX493 |Applying initial value "0" on instance LEDEN.
|
||||
@N: FX493 |Applying initial value "0" on instance n8MEGEN.
|
||||
@N: FX493 |Applying initial value "1" on instance nRRAS.
|
||||
@N: FX493 |Applying initial value "0" on instance CmdUFMCLK.
|
||||
@@ -205,17 +206,17 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h
|
||||
Starting clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
|
||||
|
||||
Finished clock optimization phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
Finished clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
|
||||
|
||||
Starting clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
Starting clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
|
||||
|
||||
Finished clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
Finished clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
|
||||
@N: FX1184 |Applying syn_allowed_resources blockrams=0 on top level netlist RAM2GS
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 181MB peak: 181MB)
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
|
||||
|
||||
|
||||
@@ -282,10 +283,10 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I
|
||||
@N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized.
|
||||
Finished Pre Mapping Phase.
|
||||
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB)
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
|
||||
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB)
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
|
||||
|
||||
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB)
|
||||
@@ -294,13 +295,11 @@ Pre-mapping successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 98MB peak: 184MB)
|
||||
|
||||
Process took 0h:00m:02s realtime, 0h:00m:01s cputime
|
||||
# Thu Sep 21 05:38:21 2023
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Sat Jan 6 06:24:51 2024
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
||||
# Thu Sep 21 05:38:21 2023
|
||||
# Sat Jan 6 06:24:51 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
@@ -319,29 +318,29 @@ Implementation : impl1
|
||||
Synopsys Lattice Technology Mapper, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @
|
||||
|
||||
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 128MB peak: 128MB)
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB)
|
||||
|
||||
@N: MF916 |Option synthesis_strategy=base is enabled.
|
||||
@N: MF248 |Running in 64-bit mode.
|
||||
@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
|
||||
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 130MB peak: 140MB)
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 139MB)
|
||||
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 130MB peak: 140MB)
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 139MB)
|
||||
|
||||
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 144MB peak: 144MB)
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 147MB)
|
||||
|
||||
|
||||
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 179MB peak: 179MB)
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 178MB peak: 178MB)
|
||||
|
||||
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB)
|
||||
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB)
|
||||
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-spi.v":147:4:147:9|Found counter in view:work.RAM2GS(verilog) instance IS[3:0]
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-spi.v":134:4:134:9|Found counter in view:work.RAM2GS(verilog) instance FS[17:0]
|
||||
@@ -351,10 +350,10 @@ Finished RTL optimizations (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00
|
||||
@N: FX493 |Applying initial value "0" on instance IS[2].
|
||||
@N: FX493 |Applying initial value "0" on instance IS[3].
|
||||
|
||||
Starting factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 184MB peak: 184MB)
|
||||
Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 184MB peak: 184MB)
|
||||
|
||||
|
||||
Finished factoring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 186MB peak: 186MB)
|
||||
Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 185MB peak: 185MB)
|
||||
|
||||
|
||||
Available hyper_sources - for debug and ip models
|
||||
@@ -367,64 +366,61 @@ Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:01s; CP
|
||||
Starting Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 187MB peak: 187MB)
|
||||
|
||||
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
|
||||
Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
|
||||
|
||||
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
|
||||
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
|
||||
|
||||
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
|
||||
Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
|
||||
|
||||
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB)
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB)
|
||||
|
||||
Pass CPU time Worst Slack Luts / Registers
|
||||
------------------------------------------------------------
|
||||
1 0h:00m:01s -3.26ns 127 / 89
|
||||
2 0h:00m:01s -3.23ns 123 / 89
|
||||
3 0h:00m:01s -3.23ns 123 / 89
|
||||
4 0h:00m:01s -3.23ns 123 / 89
|
||||
5 0h:00m:01s -3.23ns 124 / 89
|
||||
6 0h:00m:01s -3.23ns 124 / 89
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-spi.v":105:4:105:9|Replicating instance CBR (in view: work.RAM2GS(verilog)) with 7 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-spi.v":105:4:105:9|Replicating instance FWEr (in view: work.RAM2GS(verilog)) with 5 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-spi.v":147:4:147:9|Replicating instance Ready (in view: work.RAM2GS(verilog)) with 15 loads 1 time to improve timing.
|
||||
1 0h:00m:01s -4.01ns 133 / 89
|
||||
2 0h:00m:01s -3.96ns 131 / 89
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-spi.v":105:4:105:9|Replicating instance CBR (in view: work.RAM2GS(verilog)) with 8 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-spi.v":105:4:105:9|Replicating instance FWEr (in view: work.RAM2GS(verilog)) with 7 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-spi.v":147:4:147:9|Replicating instance Ready (in view: work.RAM2GS(verilog)) with 19 loads 1 time to improve timing.
|
||||
Timing driven replication report
|
||||
Added 3 Registers via timing driven replication
|
||||
Added 1 LUTs via timing driven replication
|
||||
|
||||
7 0h:00m:02s -2.99ns 128 / 92
|
||||
3 0h:00m:02s -3.08ns 143 / 92
|
||||
4 0h:00m:02s -3.08ns 141 / 92
|
||||
|
||||
|
||||
8 0h:00m:02s -2.99ns 127 / 92
|
||||
9 0h:00m:02s -3.09ns 127 / 92
|
||||
10 0h:00m:02s -3.19ns 127 / 92
|
||||
11 0h:00m:02s -3.19ns 127 / 92
|
||||
12 0h:00m:02s -3.19ns 127 / 92
|
||||
5 0h:00m:02s -3.08ns 140 / 92
|
||||
6 0h:00m:02s -3.19ns 140 / 92
|
||||
7 0h:00m:02s -3.19ns 140 / 92
|
||||
8 0h:00m:02s -3.19ns 140 / 92
|
||||
9 0h:00m:02s -3.19ns 140 / 92
|
||||
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 190MB peak: 190MB)
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 191MB peak: 191MB)
|
||||
|
||||
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:02s; Memory used current: 191MB peak: 191MB)
|
||||
Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 191MB peak: 191MB)
|
||||
|
||||
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 155MB peak: 191MB)
|
||||
Start Writing Netlists (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 155MB peak: 192MB)
|
||||
|
||||
Writing Analyst data base \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO256C\impl1\synwork\RAM2GS_LCMXO256C_impl1_m.srm
|
||||
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:04s; CPU Time elapsed 0h:00m:03s; Memory used current: 192MB peak: 192MB)
|
||||
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 192MB peak: 192MB)
|
||||
|
||||
Writing EDIF Netlist and constraint files
|
||||
@N: FX1056 |Writing EDF file: \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO256C\impl1\RAM2GS_LCMXO256C_impl1.edi
|
||||
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
|
||||
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 196MB peak: 196MB)
|
||||
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 196MB peak: 196MB)
|
||||
|
||||
|
||||
Finished Writing Netlists (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 196MB peak: 196MB)
|
||||
Finished Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 196MB peak: 197MB)
|
||||
|
||||
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 194MB peak: 196MB)
|
||||
Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 195MB peak: 197MB)
|
||||
|
||||
@N: MT615 |Found clock RCLK with period 16.00ns
|
||||
@N: MT615 |Found clock PHI2 with period 350.00ns
|
||||
@@ -433,7 +429,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:0
|
||||
|
||||
|
||||
##### START OF TIMING REPORT #####[
|
||||
# Timing report written on Thu Sep 21 05:38:27 2023
|
||||
# Timing report written on Sat Jan 6 06:24:55 2024
|
||||
#
|
||||
|
||||
|
||||
@@ -466,8 +462,8 @@ nCRAS 2.9 MHz 0.6 MHz 350.000 1613.150 -3.60
|
||||
Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
|
||||
|
||||
|
||||
@W: MT118 |Paths from clock (PHI2:f) to clock (RCLK:r) are overconstrained because the required time of 1.00 ns is too small.
|
||||
@W: MT117 |Paths from clock (RCLK:r) to clock (PHI2:f) are overconstrained because the required time of 1.00 ns is too small.
|
||||
@W: MT118 |Paths from clock (PHI2:f) to clock (RCLK:r) are overconstrained because the required time of 1.00 ns is too small.
|
||||
@W: MT118 |Paths from clock (nCRAS:f) to clock (RCLK:r) are overconstrained because the required time of 1.00 ns is too small.
|
||||
@W: MT116 |Paths from clock (RCLK:r) to clock (PHI2:r) are overconstrained because the required time of 2.00 ns is too small.
|
||||
@W: MT117 |Paths from clock (RCLK:r) to clock (nCRAS:f) are overconstrained because the required time of 1.00 ns is too small.
|
||||
@@ -481,10 +477,10 @@ Clocks | rise to rise | fall to fall | rise to
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack
|
||||
---------------------------------------------------------------------------------------------------------------
|
||||
RCLK RCLK | 16.000 7.560 | No paths - | No paths - | No paths -
|
||||
RCLK RCLK | 16.000 7.464 | No paths - | No paths - | No paths -
|
||||
RCLK PHI2 | 2.000 -1.216 | No paths - | 1.000 -2.312 | No paths -
|
||||
PHI2 RCLK | No paths - | No paths - | No paths - | 1.000 -3.705
|
||||
PHI2 PHI2 | No paths - | 350.000 343.998 | 175.000 166.500 | 175.000 171.784
|
||||
PHI2 PHI2 | No paths - | 350.000 344.094 | 175.000 165.215 | 175.000 171.784
|
||||
nCRAS RCLK | No paths - | No paths - | No paths - | 1.000 -3.609
|
||||
===============================================================================================================
|
||||
Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
|
||||
@@ -518,10 +514,10 @@ CmdUFMCS PHI2 FD1P3AX Q CmdUFMCS 1.348
|
||||
CmdUFMSDI PHI2 FD1P3AX Q CmdUFMSDI 1.348 -3.297
|
||||
CmdLEDEN PHI2 FD1P3AX Q CmdLEDEN 1.456 -2.216
|
||||
Cmdn8MEGEN PHI2 FD1P3AX Q Cmdn8MEGEN 1.456 -2.216
|
||||
Bank[2] PHI2 FD1S3AX Q Bank[2] 1.348 166.500
|
||||
Bank[3] PHI2 FD1S3AX Q Bank[3] 1.348 166.500
|
||||
Bank[4] PHI2 FD1S3AX Q Bank[4] 1.348 166.500
|
||||
Bank[5] PHI2 FD1S3AX Q Bank[5] 1.348 166.500
|
||||
Bank[0] PHI2 FD1S3AX Q Bank[0] 1.348 165.215
|
||||
Bank[1] PHI2 FD1S3AX Q Bank[1] 1.348 165.215
|
||||
Bank[2] PHI2 FD1S3AX Q Bank[2] 1.348 165.215
|
||||
Bank[3] PHI2 FD1S3AX Q Bank[3] 1.348 165.215
|
||||
=======================================================================================
|
||||
|
||||
|
||||
@@ -535,13 +531,13 @@ Instance Reference Type Pin Net Time
|
||||
UFMCLK PHI2 FD1S3AX D UFMCLK_RNO -0.003 -3.705
|
||||
UFMSDI PHI2 FD1S3AX D UFMSDI_RNO -0.003 -3.705
|
||||
nUFMCS PHI2 FD1S3AY D nUFMCS_s_0_N_5_i -0.003 -3.705
|
||||
LEDEN PHI2 FD1P3AX SP N_33 0.806 -2.800
|
||||
n8MEGEN PHI2 FD1P3AX SP N_31 0.806 -2.800
|
||||
LEDEN PHI2 FD1P3AX D N_70 -0.003 -2.216
|
||||
n8MEGEN PHI2 FD1P3AX D N_69 -0.003 -2.216
|
||||
CmdSubmitted PHI2 FD1S3AX D N_460_0 173.997 166.500
|
||||
ADSubmitted PHI2 FD1S3AX D ADSubmitted_r 173.997 167.797
|
||||
C1Submitted PHI2 FD1S3AX D C1Submitted_RNO 173.997 167.797
|
||||
LEDEN PHI2 FD1P3AX SP N_26 0.806 -2.800
|
||||
n8MEGEN PHI2 FD1P3AX SP N_24 0.806 -2.800
|
||||
LEDEN PHI2 FD1P3AX D N_49 -0.003 -2.216
|
||||
n8MEGEN PHI2 FD1P3AX D N_48 -0.003 -2.216
|
||||
CmdEnable PHI2 FD1S3AX D CmdEnable_s 173.997 165.215
|
||||
CmdSubmitted PHI2 FD1S3AX D N_428_0 173.997 165.311
|
||||
ADSubmitted PHI2 FD1S3AX D ADSubmitted_r 173.997 166.404
|
||||
============================================================================================
|
||||
|
||||
|
||||
@@ -573,7 +569,7 @@ CmdSubmitted FD1S3AX Q Out 1.552 1.552 r -
|
||||
CmdSubmitted Net - - - - 3
|
||||
PHI2r3_RNITCN41 ORCALUT4 A In 0.000 1.552 r -
|
||||
PHI2r3_RNITCN41 ORCALUT4 Z Out 1.393 2.945 r -
|
||||
N_139_i Net - - - - 3
|
||||
N_137_i Net - - - - 3
|
||||
UFMCLK_RNO ORCALUT4 A In 0.000 2.945 r -
|
||||
UFMCLK_RNO ORCALUT4 Z Out 0.757 3.702 r -
|
||||
UFMCLK_RNO Net - - - - 1
|
||||
@@ -604,7 +600,7 @@ CmdSubmitted FD1S3AX Q Out 1.552 1.552 r -
|
||||
CmdSubmitted Net - - - - 3
|
||||
PHI2r3_RNITCN41 ORCALUT4 A In 0.000 1.552 r -
|
||||
PHI2r3_RNITCN41 ORCALUT4 Z Out 1.393 2.945 r -
|
||||
N_139_i Net - - - - 3
|
||||
N_137_i Net - - - - 3
|
||||
nUFMCS_s_0_N_5_i ORCALUT4 A In 0.000 2.945 r -
|
||||
nUFMCS_s_0_N_5_i ORCALUT4 Z Out 0.757 3.702 r -
|
||||
nUFMCS_s_0_N_5_i Net - - - - 1
|
||||
@@ -635,7 +631,7 @@ CmdSubmitted FD1S3AX Q Out 1.552 1.552 r -
|
||||
CmdSubmitted Net - - - - 3
|
||||
PHI2r3_RNITCN41 ORCALUT4 A In 0.000 1.552 r -
|
||||
PHI2r3_RNITCN41 ORCALUT4 Z Out 1.393 2.945 r -
|
||||
N_139_i Net - - - - 3
|
||||
N_137_i Net - - - - 3
|
||||
UFMSDI_RNO ORCALUT4 A In 0.000 2.945 r -
|
||||
UFMSDI_RNO ORCALUT4 Z Out 0.757 3.702 r -
|
||||
UFMSDI_RNO Net - - - - 1
|
||||
@@ -654,21 +650,21 @@ Detailed Report for Clock: RCLK
|
||||
Starting Points with Worst Slack
|
||||
********************************
|
||||
|
||||
Starting Arrival
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
-----------------------------------------------------------------------------
|
||||
LEDEN RCLK FD1P3AX Q LEDEN 1.552 -2.312
|
||||
n8MEGEN RCLK FD1P3AX Q n8MEGEN 1.456 -2.216
|
||||
FS[13] RCLK FD1S3AX Q FS[13] 1.552 7.560
|
||||
FS[14] RCLK FD1S3AX Q FS[14] 1.552 7.560
|
||||
FS[15] RCLK FD1S3AX Q FS[15] 1.552 7.560
|
||||
FS[17] RCLK FD1S3AX Q FS[17] 1.552 7.560
|
||||
S[1] RCLK FD1S3IX Q S[1] 1.768 8.533
|
||||
S[0] RCLK FD1S3IX Q CO0 1.756 8.545
|
||||
FS[16] RCLK FD1S3AX Q FS[16] 1.612 8.689
|
||||
FS[12] RCLK FD1S3AX Q FS[12] 1.552 8.749
|
||||
=============================================================================
|
||||
Starting Arrival
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
--------------------------------------------------------------------------------
|
||||
LEDEN RCLK FD1P3AX Q LEDEN 1.552 -2.312
|
||||
n8MEGEN RCLK FD1P3AX Q n8MEGEN 1.456 -2.216
|
||||
FS[12] RCLK FD1S3AX Q FS[12] 1.552 7.464
|
||||
FS[14] RCLK FD1S3AX Q FS[14] 1.552 7.464
|
||||
FS[15] RCLK FD1S3AX Q FS[15] 1.552 7.464
|
||||
FS[17] RCLK FD1S3AX Q FS[17] 1.552 7.464
|
||||
InitReady RCLK FD1S3AX Q InitReady 1.792 8.569
|
||||
S[1] RCLK FD1S3IX Q S[1] 1.792 8.569
|
||||
S[0] RCLK FD1S3IX Q CO0 1.780 8.581
|
||||
FS[13] RCLK FD1S3AX Q FS[13] 1.612 8.593
|
||||
================================================================================
|
||||
|
||||
|
||||
Ending Points with Worst Slack
|
||||
@@ -678,16 +674,16 @@ Ending Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
-----------------------------------------------------------------------------------------
|
||||
CmdLEDEN RCLK FD1P3AX D N_21_i -0.003 -2.312
|
||||
CmdLEDEN RCLK FD1P3AX D N_14_i -0.003 -2.312
|
||||
XOR8MEG RCLK FD1P3AX D XOR8MEG_3 -0.003 -2.312
|
||||
Cmdn8MEGEN RCLK FD1P3AX D N_19_i -0.003 -2.216
|
||||
Cmdn8MEGEN RCLK FD1P3AX D N_12_i -0.003 -2.216
|
||||
RA11 RCLK FD1S3IX D RA11_2 0.997 -1.216
|
||||
UFMSDI RCLK FD1S3AX D UFMSDI_RNO 14.997 7.560
|
||||
UFMSDI RCLK FD1S3AX D UFMSDI_RNO 14.997 7.464
|
||||
UFMCLK RCLK FD1S3AX D UFMCLK_RNO 14.997 7.668
|
||||
LEDEN RCLK FD1P3AX SP N_33 15.806 8.261
|
||||
n8MEGEN RCLK FD1P3AX SP N_31 15.806 8.261
|
||||
nRCS RCLK FD1S3AY D N_28_i 14.997 8.533
|
||||
nRCAS RCLK FD1S3AY D N_46_i 14.997 8.569
|
||||
nUFMCS RCLK FD1S3AY D nUFMCS_s_0_N_5_i 14.997 8.653
|
||||
nRCS RCLK FD1S3AY D N_143_i 14.997 8.881
|
||||
LEDEN RCLK FD1P3AX SP N_26 15.806 9.463
|
||||
=========================================================================================
|
||||
|
||||
|
||||
@@ -717,9 +713,9 @@ Name Type Name Dir Delay Time Fan Out(s
|
||||
---------------------------------------------------------------------------------
|
||||
LEDEN FD1P3AX Q Out 1.552 1.552 r -
|
||||
LEDEN Net - - - - 3
|
||||
CmdLEDEN_RNO ORCALUT4 A In 0.000 1.552 r -
|
||||
CmdLEDEN_RNO ORCALUT4 B In 0.000 1.552 r -
|
||||
CmdLEDEN_RNO ORCALUT4 Z Out 0.757 2.309 r -
|
||||
N_21_i Net - - - - 1
|
||||
N_14_i Net - - - - 1
|
||||
CmdLEDEN FD1P3AX D In 0.000 2.309 r -
|
||||
=================================================================================
|
||||
|
||||
@@ -740,16 +736,16 @@ Path information for path number 2:
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by PHI2 [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
-------------------------------------------------------------------------------------
|
||||
LEDEN FD1P3AX Q Out 1.552 1.552 r -
|
||||
LEDEN Net - - - - 3
|
||||
XOR8MEG_3_u_0_a3_3 ORCALUT4 B In 0.000 1.552 r -
|
||||
XOR8MEG_3_u_0_a3_3 ORCALUT4 Z Out 0.757 2.309 f -
|
||||
XOR8MEG_3 Net - - - - 1
|
||||
XOR8MEG FD1P3AX D In 0.000 2.309 f -
|
||||
=====================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------------
|
||||
LEDEN FD1P3AX Q Out 1.552 1.552 r -
|
||||
LEDEN Net - - - - 3
|
||||
XOR8MEG_3_u_0_a3_0_2 ORCALUT4 B In 0.000 1.552 r -
|
||||
XOR8MEG_3_u_0_a3_0_2 ORCALUT4 Z Out 0.757 2.309 f -
|
||||
XOR8MEG_3 Net - - - - 1
|
||||
XOR8MEG FD1P3AX D In 0.000 2.309 f -
|
||||
=======================================================================================
|
||||
|
||||
|
||||
Path information for path number 3:
|
||||
@@ -775,7 +771,7 @@ n8MEGEN FD1P3AX Q Out 1.456 1.456 r -
|
||||
n8MEGEN Net - - - - 2
|
||||
Cmdn8MEGEN_RNO ORCALUT4 C In 0.000 1.456 r -
|
||||
Cmdn8MEGEN_RNO ORCALUT4 Z Out 0.757 2.213 r -
|
||||
N_19_i Net - - - - 1
|
||||
N_12_i Net - - - - 1
|
||||
Cmdn8MEGEN FD1P3AX D In 0.000 2.213 r -
|
||||
=================================================================================
|
||||
|
||||
@@ -795,10 +791,10 @@ Starting Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
--------------------------------------------------------------------------------
|
||||
CBR nCRAS FD1S3AX Q CBR 1.660 -3.609
|
||||
CBR_fast nCRAS FD1S3AX Q CBR_fast 1.456 -3.513
|
||||
CBR_fast nCRAS FD1S3AX Q CBR_fast 1.552 -3.609
|
||||
CBR nCRAS FD1S3AX Q CBR 1.612 -3.561
|
||||
FWEr nCRAS FD1S3AX Q FWEr 1.552 -3.501
|
||||
FWEr_fast nCRAS FD1S3AX Q FWEr_fast 1.456 -3.405
|
||||
FWEr_fast nCRAS FD1S3AX Q FWEr_fast 1.552 -3.501
|
||||
================================================================================
|
||||
|
||||
|
||||
@@ -809,11 +805,11 @@ Ending Points with Worst Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------
|
||||
nRWE nCRAS FD1S3AY D N_39_i -0.003 -3.609
|
||||
nRowColSel nCRAS FD1S3IX D nRowColSel_0_0 -0.003 -3.609
|
||||
nRCAS nCRAS FD1S3AY D N_37_i -0.003 -3.513
|
||||
RCKEEN nCRAS FD1S3AX D RCKEEN_8 -0.003 -3.405
|
||||
nRCS nCRAS FD1S3AY D N_28_i -0.003 -3.405
|
||||
nRCAS nCRAS FD1S3AY D N_46_i -0.003 -3.609
|
||||
nRWE nCRAS FD1S3AY D N_144_i -0.003 -3.609
|
||||
nRowColSel nCRAS FD1S3IX D nRowColSel_0_0 -0.003 -3.561
|
||||
RCKEEN nCRAS FD1S3AX D RCKEEN_8 -0.003 -3.501
|
||||
nRCS nCRAS FD1S3AY D N_143_i -0.003 -3.501
|
||||
=======================================================================================
|
||||
|
||||
|
||||
@@ -833,24 +829,24 @@ Path information for path number 1:
|
||||
= Slack (non-critical) : -3.609
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: CBR / Q
|
||||
Ending point: nRWE / D
|
||||
Starting point: CBR_fast / Q
|
||||
Ending point: nRCAS / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
CBR FD1S3AX Q Out 1.660 1.660 r -
|
||||
CBR Net - - - - 5
|
||||
nRWE_RNO_0 ORCALUT4 A In 0.000 1.660 r -
|
||||
nRWE_RNO_0 ORCALUT4 Z Out 1.189 2.849 f -
|
||||
G_17_1 Net - - - - 1
|
||||
nRWE_RNO ORCALUT4 B In 0.000 2.849 f -
|
||||
nRWE_RNO ORCALUT4 Z Out 0.757 3.606 r -
|
||||
N_39_i Net - - - - 1
|
||||
nRWE FD1S3AY D In 0.000 3.606 r -
|
||||
=================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------------
|
||||
CBR_fast FD1S3AX Q Out 1.552 1.552 r -
|
||||
CBR_fast Net - - - - 3
|
||||
nRCAS_0_sqmuxa_1_0_a3 ORCALUT4 A In 0.000 1.552 r -
|
||||
nRCAS_0_sqmuxa_1_0_a3 ORCALUT4 Z Out 1.297 2.849 r -
|
||||
nRCAS_0_sqmuxa_1 Net - - - - 2
|
||||
nRCAS_RNO ORCALUT4 C In 0.000 2.849 r -
|
||||
nRCAS_RNO ORCALUT4 Z Out 0.757 3.606 f -
|
||||
N_46_i Net - - - - 1
|
||||
nRCAS FD1S3AY D In 0.000 3.606 f -
|
||||
========================================================================================
|
||||
|
||||
|
||||
Path information for path number 2:
|
||||
@@ -864,24 +860,24 @@ Path information for path number 2:
|
||||
= Slack (non-critical) : -3.609
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: CBR / Q
|
||||
Ending point: nRowColSel / D
|
||||
Starting point: CBR_fast / Q
|
||||
Ending point: nRWE / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
--------------------------------------------------------------------------------------
|
||||
CBR FD1S3AX Q Out 1.660 1.660 r -
|
||||
CBR Net - - - - 5
|
||||
nRowColSel_0_0_a3_0 ORCALUT4 B In 0.000 1.660 r -
|
||||
nRowColSel_0_0_a3_0 ORCALUT4 Z Out 1.189 2.849 f -
|
||||
N_179 Net - - - - 1
|
||||
nRowColSel_0_0 ORCALUT4 B In 0.000 2.849 f -
|
||||
nRowColSel_0_0 ORCALUT4 Z Out 0.757 3.606 f -
|
||||
nRowColSel_0_0 Net - - - - 1
|
||||
nRowColSel FD1S3IX D In 0.000 3.606 f -
|
||||
======================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------------
|
||||
CBR_fast FD1S3AX Q Out 1.552 1.552 r -
|
||||
CBR_fast Net - - - - 3
|
||||
nRCAS_0_sqmuxa_1_0_a3 ORCALUT4 A In 0.000 1.552 r -
|
||||
nRCAS_0_sqmuxa_1_0_a3 ORCALUT4 Z Out 1.297 2.849 r -
|
||||
nRCAS_0_sqmuxa_1 Net - - - - 2
|
||||
nRWE_RNO ORCALUT4 C In 0.000 2.849 r -
|
||||
nRWE_RNO ORCALUT4 Z Out 0.757 3.606 r -
|
||||
N_144_i Net - - - - 1
|
||||
nRWE FD1S3AY D In 0.000 3.606 r -
|
||||
========================================================================================
|
||||
|
||||
|
||||
Path information for path number 3:
|
||||
@@ -890,29 +886,29 @@ Path information for path number 3:
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: -0.003
|
||||
|
||||
- Propagation time: 3.510
|
||||
- Propagation time: 3.558
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -3.513
|
||||
= Slack (non-critical) : -3.561
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: CBR_fast / Q
|
||||
Starting point: CBR / Q
|
||||
Ending point: nRCAS / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------------
|
||||
CBR_fast FD1S3AX Q Out 1.456 1.456 r -
|
||||
CBR_fast Net - - - - 2
|
||||
nRCAS_0_sqmuxa_1_0_a3 ORCALUT4 A In 0.000 1.456 r -
|
||||
nRCAS_0_sqmuxa_1_0_a3 ORCALUT4 Z Out 1.297 2.753 r -
|
||||
nRCAS_0_sqmuxa_1 Net - - - - 2
|
||||
nRCAS_RNO ORCALUT4 B In 0.000 2.753 r -
|
||||
nRCAS_RNO ORCALUT4 Z Out 0.757 3.510 f -
|
||||
N_37_i Net - - - - 1
|
||||
nRCAS FD1S3AY D In 0.000 3.510 f -
|
||||
========================================================================================
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
CBR FD1S3AX Q Out 1.612 1.612 r -
|
||||
CBR Net - - - - 4
|
||||
nRCAS_RNO_1 ORCALUT4 A In 0.000 1.612 r -
|
||||
nRCAS_RNO_1 ORCALUT4 Z Out 1.189 2.801 f -
|
||||
G_1_1 Net - - - - 1
|
||||
nRCAS_RNO ORCALUT4 B In 0.000 2.801 f -
|
||||
nRCAS_RNO ORCALUT4 Z Out 0.757 3.558 r -
|
||||
N_46_i Net - - - - 1
|
||||
nRCAS FD1S3AY D In 0.000 3.558 r -
|
||||
=================================================================================
|
||||
|
||||
|
||||
|
||||
@@ -920,10 +916,10 @@ nRCAS FD1S3AY D In 0.000 3.510 f -
|
||||
|
||||
Timing exceptions that could not be applied
|
||||
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 195MB peak: 196MB)
|
||||
Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 196MB peak: 197MB)
|
||||
|
||||
|
||||
Finished timing report (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 195MB peak: 196MB)
|
||||
Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 196MB peak: 197MB)
|
||||
|
||||
---------------------------------------
|
||||
Resource Usage Report
|
||||
@@ -944,18 +940,18 @@ FD1S3IX: 14
|
||||
FD1S3JX: 3
|
||||
GSR: 1
|
||||
IB: 26
|
||||
INV: 8
|
||||
INV: 7
|
||||
OB: 33
|
||||
ORCALUT4: 119
|
||||
PFUMX: 2
|
||||
ORCALUT4: 133
|
||||
PFUMX: 1
|
||||
PUR: 1
|
||||
VHI: 1
|
||||
VLO: 1
|
||||
Mapper successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:00m:04s; Memory used current: 80MB peak: 196MB)
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 78MB peak: 197MB)
|
||||
|
||||
Process took 0h:00m:05s realtime, 0h:00m:04s cputime
|
||||
# Thu Sep 21 05:38:27 2023
|
||||
Process took 0h:00m:03s realtime, 0h:00m:03s cputime
|
||||
# Sat Jan 6 06:24:55 2024
|
||||
|
||||
###########################################################]
|
||||
|
||||
@@ -13,7 +13,7 @@ Setup and Hold Report
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454
|
||||
Thu Sep 21 05:38:30 2023
|
||||
Sat Jan 06 06:24:59 2024
|
||||
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
@@ -38,46 +38,48 @@ BLOCK RESETPATHS
|
||||
|
||||
================================================================================
|
||||
Preference: FREQUENCY PORT "PHI2" 2.900000 MHz ;
|
||||
129 items scored, 0 timing errors detected.
|
||||
168 items scored, 0 timing errors detected.
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
Passed: The following path meets requirements by 162.619ns (weighted slack = 325.238ns)
|
||||
Passed: The following path meets requirements by 160.807ns (weighted slack = 321.614ns)
|
||||
|
||||
Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-)
|
||||
|
||||
Source: FF Q Bank[2] (from PHI2_c +)
|
||||
Destination: FF Data in CmdSubmitted (to PHI2_c -)
|
||||
Source: FF Q Bank[6] (from PHI2_c +)
|
||||
Destination: FF Data in CmdEnable (to PHI2_c -)
|
||||
|
||||
Delay: 9.621ns (25.1% logic, 74.9% route), 6 logic levels.
|
||||
Delay: 11.433ns (24.4% logic, 75.6% route), 7 logic levels.
|
||||
|
||||
Constraint Details:
|
||||
|
||||
9.621ns physical path delay SLICE_71 to SLICE_22 meets
|
||||
11.433ns physical path delay SLICE_75 to SLICE_20 meets
|
||||
172.414ns delay constraint less
|
||||
0.174ns DIN_SET requirement (totaling 172.240ns) by 162.619ns
|
||||
0.174ns DIN_SET requirement (totaling 172.240ns) by 160.807ns
|
||||
|
||||
Physical Path Details:
|
||||
|
||||
Data path SLICE_71 to SLICE_22:
|
||||
Data path SLICE_75 to SLICE_20:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
REG_DEL --- 0.560 SLICE_71.CLK to SLICE_71.Q0 SLICE_71 (from PHI2_c)
|
||||
ROUTE 1 e 1.441 SLICE_71.Q0 to SLICE_56.A1 Bank[2]
|
||||
CTOF_DEL --- 0.371 SLICE_56.A1 to SLICE_56.F1 SLICE_56
|
||||
ROUTE 1 e 1.441 SLICE_56.F1 to SLICE_70.D1 C1WR_0_a2_0_11
|
||||
CTOF_DEL --- 0.371 SLICE_70.D1 to SLICE_70.F1 SLICE_70
|
||||
ROUTE 6 e 1.441 SLICE_70.F1 to SLICE_67.D0 N_147
|
||||
CTOF_DEL --- 0.371 SLICE_67.D0 to SLICE_67.F0 SLICE_67
|
||||
ROUTE 5 e 1.441 SLICE_67.F0 to SLICE_82.A0 XOR8MEG18
|
||||
CTOF_DEL --- 0.371 SLICE_82.A0 to SLICE_82.F0 SLICE_82
|
||||
ROUTE 1 e 1.441 SLICE_82.F0 to SLICE_22.A0 CmdSubmitted_1_sqmuxa
|
||||
CTOF_DEL --- 0.371 SLICE_22.A0 to SLICE_22.F0 SLICE_22
|
||||
ROUTE 1 e 0.001 SLICE_22.F0 to SLICE_22.DI0 N_460_0 (to PHI2_c)
|
||||
REG_DEL --- 0.560 SLICE_75.CLK to SLICE_75.Q0 SLICE_75 (from PHI2_c)
|
||||
ROUTE 1 e 1.441 SLICE_75.Q0 to SLICE_77.C1 Bank[6]
|
||||
CTOF_DEL --- 0.371 SLICE_77.C1 to SLICE_77.F1 SLICE_77
|
||||
ROUTE 2 e 1.441 SLICE_77.F1 to SLICE_79.D0 un1_Bank_1_5
|
||||
CTOF_DEL --- 0.371 SLICE_79.D0 to SLICE_79.F0 SLICE_79
|
||||
ROUTE 2 e 1.441 SLICE_79.F0 to SLICE_76.B0 C1WR_7
|
||||
CTOF_DEL --- 0.371 SLICE_76.B0 to SLICE_76.F0 SLICE_76
|
||||
ROUTE 5 e 1.441 SLICE_76.F0 to SLICE_70.B0 C1WR
|
||||
CTOF_DEL --- 0.371 SLICE_70.B0 to SLICE_70.F0 SLICE_70
|
||||
ROUTE 1 e 1.441 SLICE_70.F0 to SLICE_14.C1 N_121
|
||||
CTOF_DEL --- 0.371 SLICE_14.C1 to SLICE_14.F1 SLICE_14
|
||||
ROUTE 1 e 1.441 SLICE_14.F1 to SLICE_20.C0 un1_CmdEnable20_i
|
||||
CTOF_DEL --- 0.371 SLICE_20.C0 to SLICE_20.F0 SLICE_20
|
||||
ROUTE 1 e 0.001 SLICE_20.F0 to SLICE_20.DI0 CmdEnable_s (to PHI2_c)
|
||||
--------
|
||||
9.621 (25.1% logic, 74.9% route), 6 logic levels.
|
||||
11.433 (24.4% logic, 75.6% route), 7 logic levels.
|
||||
|
||||
Report: 51.046MHz is the maximum frequency for this preference.
|
||||
Report: 43.077MHz is the maximum frequency for this preference.
|
||||
|
||||
|
||||
================================================================================
|
||||
@@ -118,46 +120,46 @@ Report: 400.000MHz is the maximum frequency for this preference.
|
||||
|
||||
================================================================================
|
||||
Preference: FREQUENCY PORT "RCLK" 62.500000 MHz ;
|
||||
388 items scored, 0 timing errors detected.
|
||||
392 items scored, 0 timing errors detected.
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
Passed: The following path meets requirements by 4.695ns
|
||||
Passed: The following path meets requirements by 6.198ns
|
||||
|
||||
Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-)
|
||||
|
||||
Source: FF Q FS[17] (from RCLK_c +)
|
||||
Destination: FF Data in LEDEN (to RCLK_c +)
|
||||
Destination: FF Data in UFMSDI (to RCLK_c +)
|
||||
|
||||
Delay: 11.061ns (21.8% logic, 78.2% route), 6 logic levels.
|
||||
Delay: 9.621ns (25.1% logic, 74.9% route), 6 logic levels.
|
||||
|
||||
Constraint Details:
|
||||
|
||||
11.061ns physical path delay SLICE_1 to SLICE_33 meets
|
||||
9.621ns physical path delay SLICE_1 to SLICE_52 meets
|
||||
16.000ns delay constraint less
|
||||
0.244ns CE_SET requirement (totaling 15.756ns) by 4.695ns
|
||||
0.181ns DIN_SET requirement (totaling 15.819ns) by 6.198ns
|
||||
|
||||
Physical Path Details:
|
||||
|
||||
Data path SLICE_1 to SLICE_33:
|
||||
Data path SLICE_1 to SLICE_52:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
REG_DEL --- 0.560 SLICE_1.CLK to SLICE_1.Q1 SLICE_1 (from RCLK_c)
|
||||
ROUTE 3 e 1.441 SLICE_1.Q1 to SLICE_81.D1 FS[17]
|
||||
CTOF_DEL --- 0.371 SLICE_81.D1 to SLICE_81.F1 SLICE_81
|
||||
ROUTE 1 e 1.441 SLICE_81.F1 to SLICE_72.C1 UFMSDI_ens2_i_o2_0_3
|
||||
CTOF_DEL --- 0.371 SLICE_72.C1 to SLICE_72.F1 SLICE_72
|
||||
ROUTE 4 e 1.441 SLICE_72.F1 to SLICE_58.C1 N_51
|
||||
ROUTE 3 e 1.441 SLICE_1.Q1 to SLICE_86.D1 FS[17]
|
||||
CTOF_DEL --- 0.371 SLICE_86.D1 to SLICE_86.F1 SLICE_86
|
||||
ROUTE 1 e 1.441 SLICE_86.F1 to SLICE_69.C1 UFMSDI_ens2_i_o2_0_3
|
||||
CTOF_DEL --- 0.371 SLICE_69.C1 to SLICE_69.F1 SLICE_69
|
||||
ROUTE 4 e 1.441 SLICE_69.F1 to SLICE_58.C1 N_128
|
||||
CTOF_DEL --- 0.371 SLICE_58.C1 to SLICE_58.F1 SLICE_58
|
||||
ROUTE 2 e 1.441 SLICE_58.F1 to SLICE_87.D0 N_151
|
||||
CTOF_DEL --- 0.371 SLICE_87.D0 to SLICE_87.F0 SLICE_87
|
||||
ROUTE 2 e 1.441 SLICE_87.F0 to SLICE_69.C0 N_137_8
|
||||
CTOF_DEL --- 0.371 SLICE_69.C0 to SLICE_69.F0 SLICE_69
|
||||
ROUTE 1 e 1.441 SLICE_69.F0 to SLICE_33.CE N_33 (to RCLK_c)
|
||||
ROUTE 3 e 1.441 SLICE_58.F1 to SLICE_55.C0 N_94
|
||||
CTOF_DEL --- 0.371 SLICE_55.C0 to SLICE_55.F0 SLICE_55
|
||||
ROUTE 1 e 1.441 SLICE_55.F0 to SLICE_52.D0 UFMSDI_r_xx_mm_1
|
||||
CTOF_DEL --- 0.371 SLICE_52.D0 to SLICE_52.F0 SLICE_52
|
||||
ROUTE 1 e 0.001 SLICE_52.F0 to SLICE_52.DI0 UFMSDI_RNO (to RCLK_c)
|
||||
--------
|
||||
11.061 (21.8% logic, 78.2% route), 6 logic levels.
|
||||
9.621 (25.1% logic, 74.9% route), 6 logic levels.
|
||||
|
||||
Report: 88.456MHz is the maximum frequency for this preference.
|
||||
Report: 102.020MHz is the maximum frequency for this preference.
|
||||
|
||||
Report Summary
|
||||
--------------
|
||||
@@ -165,13 +167,13 @@ Report Summary
|
||||
Preference | Constraint| Actual|Levels
|
||||
----------------------------------------------------------------------------
|
||||
| | |
|
||||
FREQUENCY PORT "PHI2" 2.900000 MHz ; | 2.900 MHz| 51.046 MHz| 6
|
||||
FREQUENCY PORT "PHI2" 2.900000 MHz ; | 2.900 MHz| 43.077 MHz| 7
|
||||
| | |
|
||||
FREQUENCY PORT "nCCAS" 2.900000 MHz ; | 2.900 MHz| 400.000 MHz| 0
|
||||
| | |
|
||||
FREQUENCY PORT "nCRAS" 2.900000 MHz ; | 2.900 MHz| 400.000 MHz| 0
|
||||
| | |
|
||||
FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 88.456 MHz| 6
|
||||
FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 102.020 MHz| 6
|
||||
| | |
|
||||
----------------------------------------------------------------------------
|
||||
|
||||
@@ -226,11 +228,11 @@ Timing summary (Setup):
|
||||
Timing errors: 0 Score: 0
|
||||
Cumulative negative slack: 0
|
||||
|
||||
Constraints cover 517 paths, 4 nets, and 395 connections (62.40% coverage)
|
||||
Constraints cover 560 paths, 4 nets, and 424 connections (62.26% coverage)
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454
|
||||
Thu Sep 21 05:38:30 2023
|
||||
Sat Jan 06 06:24:59 2024
|
||||
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
@@ -255,7 +257,7 @@ BLOCK RESETPATHS
|
||||
|
||||
================================================================================
|
||||
Preference: FREQUENCY PORT "PHI2" 2.900000 MHz ;
|
||||
129 items scored, 0 timing errors detected.
|
||||
168 items scored, 0 timing errors detected.
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
@@ -301,7 +303,7 @@ Preference: FREQUENCY PORT "nCRAS" 2.900000 MHz ;
|
||||
|
||||
================================================================================
|
||||
Preference: FREQUENCY PORT "RCLK" 62.500000 MHz ;
|
||||
388 items scored, 0 timing errors detected.
|
||||
392 items scored, 0 timing errors detected.
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
@@ -316,17 +318,17 @@ Passed: The following path meets requirements by 0.342ns
|
||||
|
||||
Constraint Details:
|
||||
|
||||
0.325ns physical path delay SLICE_75 to SLICE_75 meets
|
||||
0.325ns physical path delay SLICE_74 to SLICE_74 meets
|
||||
-0.017ns M_HLD and
|
||||
0.000ns delay constraint requirement (totaling -0.017ns) by 0.342ns
|
||||
|
||||
Physical Path Details:
|
||||
|
||||
Data path SLICE_75 to SLICE_75:
|
||||
Data path SLICE_74 to SLICE_74:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
REG_DEL --- 0.126 SLICE_75.CLK to SLICE_75.Q0 SLICE_75 (from RCLK_c)
|
||||
ROUTE 1 e 0.199 SLICE_75.Q0 to SLICE_75.M1 CASr (to RCLK_c)
|
||||
REG_DEL --- 0.126 SLICE_74.CLK to SLICE_74.Q0 SLICE_74 (from RCLK_c)
|
||||
ROUTE 1 e 0.199 SLICE_74.Q0 to SLICE_74.M1 CASr (to RCLK_c)
|
||||
--------
|
||||
0.325 (38.8% logic, 61.2% route), 1 logic levels.
|
||||
|
||||
@@ -397,7 +399,7 @@ Timing summary (Hold):
|
||||
Timing errors: 0 Score: 0
|
||||
Cumulative negative slack: 0
|
||||
|
||||
Constraints cover 517 paths, 4 nets, and 395 connections (62.40% coverage)
|
||||
Constraints cover 560 paths, 4 nets, and 424 connections (62.26% coverage)
|
||||
|
||||
|
||||
|
||||
|
||||
File diff suppressed because it is too large
Load Diff
@@ -12,7 +12,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
font-weight: bold;
|
||||
margin-top: 24px;
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
|
||||
@@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
# Written on Thu Sep 21 05:38:20 2023
|
||||
# Written on Sat Jan 6 06:24:51 2024
|
||||
|
||||
##### DESIGN INFO #######################################################
|
||||
|
||||
@@ -48,8 +48,8 @@ nCRAS RCLK | No paths | No paths | No p
|
||||
'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
|
||||
|
||||
|
||||
@W:"//mac/icloud/repos/ram2gs/cpld/ram2gs.sdc":2:0:2:0|Paths from clock (PHI2:f) to clock (RCLK:r) are overconstrained because the required time of 1.00 ns is too small.
|
||||
@W:"//mac/icloud/repos/ram2gs/cpld/ram2gs.sdc":1:0:1:0|Paths from clock (RCLK:r) to clock (PHI2:f) are overconstrained because the required time of 1.00 ns is too small.
|
||||
@W:"//mac/icloud/repos/ram2gs/cpld/ram2gs.sdc":2:0:2:0|Paths from clock (PHI2:f) to clock (RCLK:r) are overconstrained because the required time of 1.00 ns is too small.
|
||||
@W:"//mac/icloud/repos/ram2gs/cpld/ram2gs.sdc":3:0:3:0|Paths from clock (nCRAS:f) to clock (RCLK:r) are overconstrained because the required time of 1.00 ns is too small.
|
||||
@W:"//mac/icloud/repos/ram2gs/cpld/ram2gs.sdc":1:0:1:0|Paths from clock (RCLK:r) to clock (PHI2:r) are overconstrained because the required time of 2.00 ns is too small.
|
||||
@W:"//mac/icloud/repos/ram2gs/cpld/ram2gs.sdc":1:0:1:0|Paths from clock (RCLK:r) to clock (nCRAS:f) are overconstrained because the required time of 1.00 ns is too small.
|
||||
|
||||
@@ -38,7 +38,7 @@ Performance Hardware Data Status: Version 1.124.
|
||||
table
|
||||
{
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
border-collapse: collapse;
|
||||
}
|
||||
@@ -50,99 +50,99 @@ Worst Case Results across Performance Grades (M, 5, 4, 3):
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
text-align:left;
|
||||
font-size:78%;
|
||||
}
|
||||
td {
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
.fail
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
{
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
}
|
||||
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Top"></A><B><U><big>I/O Timing Report</big></U></B>
|
||||
Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
font-size:78%;
|
||||
}
|
||||
td {
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
.fail
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
{
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
}
|
||||
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Top"></A><B><U><big>I/O Timing Report</big></U></B>
|
||||
Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO256C
|
||||
Package: TQFP100
|
||||
Performance: 4
|
||||
Package Status: Final Version 1.19.
|
||||
Performance Hardware Data Status: Version 1.124.
|
||||
Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Performance Hardware Data Status: Version 1.124.
|
||||
Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO256C
|
||||
Package: TQFP100
|
||||
Performance: 5
|
||||
Package Status: Final Version 1.19.
|
||||
Performance Hardware Data Status: Version 1.124.
|
||||
Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO256C
|
||||
Package: TQFP100
|
||||
Performance: M
|
||||
Package Status: Final Version 1.19.
|
||||
Performance Hardware Data Status: Version 1.124.
|
||||
// Design: RAM2GS
|
||||
// Package: TQFP100
|
||||
// ncd File: ram2gs_lcmxo256c_impl1.ncd
|
||||
// Version: Diamond (64-bit) 3.12.1.454
|
||||
// Written on Thu Sep 21 05:38:44 2023
|
||||
// M: Minimum Performance Grade
|
||||
// iotiming RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO256C/promote.xml
|
||||
|
||||
I/O Timing Report (All units are in ns)
|
||||
|
||||
Worst Case Results across Performance Grades (M, 5, 4, 3):
|
||||
|
||||
// Input Setup and Hold Times
|
||||
|
||||
Port Clock Edge Setup Performance_Grade Hold Performance_Grade
|
||||
----------------------------------------------------------------------
|
||||
CROW[0] nCRAS F -0.006 M 1.904 3
|
||||
CROW[1] nCRAS F -0.006 M 1.904 3
|
||||
Din[0] PHI2 F 4.101 3 2.207 3
|
||||
Package: TQFP100
|
||||
Performance: 5
|
||||
Package Status: Final Version 1.19.
|
||||
Performance Hardware Data Status: Version 1.124.
|
||||
Loading design for application iotiming from file ram2gs_lcmxo256c_impl1.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO256C
|
||||
Package: TQFP100
|
||||
Performance: M
|
||||
Package Status: Final Version 1.19.
|
||||
Performance Hardware Data Status: Version 1.124.
|
||||
// Design: RAM2GS
|
||||
// Package: TQFP100
|
||||
// ncd File: ram2gs_lcmxo256c_impl1.ncd
|
||||
// Version: Diamond (64-bit) 3.12.1.454
|
||||
// Written on Sat Jan 06 06:25:13 2024
|
||||
// M: Minimum Performance Grade
|
||||
// iotiming RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO256C/promote.xml
|
||||
|
||||
I/O Timing Report (All units are in ns)
|
||||
|
||||
Worst Case Results across Performance Grades (M, 5, 4, 3):
|
||||
|
||||
// Input Setup and Hold Times
|
||||
|
||||
Port Clock Edge Setup Performance_Grade Hold Performance_Grade
|
||||
----------------------------------------------------------------------
|
||||
CROW[0] nCRAS F -0.006 M 1.907 3
|
||||
CROW[1] nCRAS F -0.006 M 1.907 3
|
||||
Din[0] PHI2 F 4.304 3 2.935 3
|
||||
Din[0] nCCAS F 0.567 3 0.723 3
|
||||
Din[1] PHI2 F 2.668 3 3.026 3
|
||||
Din[1] PHI2 F 4.920 3 3.034 3
|
||||
Din[1] nCCAS F 0.414 3 0.851 3
|
||||
Din[2] PHI2 F 2.073 3 2.917 3
|
||||
Din[2] PHI2 F 3.171 3 3.327 3
|
||||
Din[2] nCCAS F 0.909 3 0.432 3
|
||||
Din[3] PHI2 F 2.620 3 3.334 3
|
||||
Din[3] PHI2 F 4.332 3 2.525 3
|
||||
Din[3] nCCAS F 0.038 3 1.155 3
|
||||
Din[4] PHI2 F 5.624 3 2.635 3
|
||||
Din[4] nCCAS F 1.448 3 -0.041 M
|
||||
|
||||
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
@@ -23,17 +23,17 @@ Target Vendor: LATTICE
|
||||
h3 {
|
||||
font-weight: bold;
|
||||
margin-top: 12px;
|
||||
margin-bottom: 5px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
p {
|
||||
font-size:78%;
|
||||
}
|
||||
P.Table {
|
||||
}
|
||||
P.Table {
|
||||
margin-top: 4px;
|
||||
margin-bottom: 4px;
|
||||
margin-right: 4px;
|
||||
margin-left: 4px;
|
||||
margin-right: 4px;
|
||||
margin-left: 4px;
|
||||
}
|
||||
table
|
||||
{
|
||||
@@ -56,28 +56,29 @@ Mapped on: 09/21/23 05:38:29
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
{
|
||||
.pass
|
||||
background-color: #00ff00;
|
||||
}
|
||||
.fail
|
||||
.fail
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
@@ -126,8 +127,8 @@ Mapped on: 09/21/23 05:38:29
|
||||
Readback used : No
|
||||
Oscillator used : No
|
||||
Startup used : No
|
||||
Startup used : No
|
||||
Number of TSALL: 0 out of 1 (0%)
|
||||
Notes:-
|
||||
1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of
|
||||
distributed RAMs) + 2*(Number of ripple logic)
|
||||
2. Number of logic LUT4s does not include count of distributed RAM and
|
||||
@@ -183,8 +184,8 @@ Mapped on: 09/21/23 05:38:29
|
||||
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| IO Name | Direction | Levelmode | IO | FIXEDDELAY |
|
||||
| | | IO_TYPE | Register | |
|
||||
| | | IO_TYPE | Register | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| RD[0] | BIDIR | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| Dout[0] | OUTPUT | LVCMOS33 | | |
|
||||
@@ -243,7 +244,6 @@ Mapped on: 09/21/23 05:38:29
|
||||
| RA[6] | OUTPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| RA[5] | OUTPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| RA[4] | OUTPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
@@ -252,7 +252,6 @@ Signal nFWE_c_i was merged into signal nFWE_c
|
||||
| RA[2] | OUTPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| RA[1] | OUTPUT | LVCMOS33 | | |
|
||||
| RA[0] | OUTPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| RA[0] | OUTPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
@@ -272,8 +271,7 @@ Block nFWE_pad_RNI420B was optimized away.
|
||||
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| Dout[6] | OUTPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| Dout[4] | OUTPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| Dout[5] | OUTPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| Dout[4] | OUTPUT | LVCMOS33 | | |
|
||||
@@ -304,6 +302,8 @@ Block VCC was optimized away.
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| CROW[0] | INPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| MAin[9] | INPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| MAin[8] | INPUT | LVCMOS33 | | |
|
||||
+---------------------+-----------+-----------+------------+------------+
|
||||
| MAin[7] | INPUT | LVCMOS33 | | |
|
||||
|
||||
@@ -14,7 +14,7 @@ Performance Grade: 3
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
margin-top: 18px;
|
||||
margin-bottom: 5px;
|
||||
@@ -276,7 +276,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
| TDI/1 | | | | TDI | TDI |
|
||||
| TDO/1 | | | | TDO | TDO |
|
||||
| TMS/1 | | | | TMS | TMS |
|
||||
+----------+---------------------+------------+---------------+------+---------------+
|
||||
+----------+---------------------+------------+---------------+------+---------------+
|
||||
|
||||
|
||||
List of All Pins' Locate Preferences Based on Final Placement After PAR
|
||||
|
||||
@@ -12,7 +12,7 @@ Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
font-weight: bold;
|
||||
margin-top: 24px;
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
@@ -26,17 +26,17 @@ Preference file: RAM2GS_LCMXO256C_impl1.prf.
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
p {
|
||||
p {
|
||||
font-size:78%;
|
||||
}
|
||||
P.Table {
|
||||
P.Table {
|
||||
margin-top: 4px;
|
||||
margin-bottom: 4px;
|
||||
margin-right: 4px;
|
||||
margin-left: 4px;
|
||||
}
|
||||
table
|
||||
{
|
||||
{
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
@@ -65,12 +65,12 @@ Ignore Preference Error(s): True
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
@@ -84,17 +84,17 @@ The following 1 signal is selected to use the secondary clock routing resources:
|
||||
.comment
|
||||
{
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
font-style: italic;
|
||||
}
|
||||
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Par"></A>PAR: Place And Route Diamond (64-bit) 3.12.1.454.
|
||||
</HEAD>
|
||||
<PRE><A name="Par"></A>PAR: Place And Route Diamond (64-bit) 3.12.1.454.
|
||||
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
|
||||
Copyright (c) 1995 AT&T Corp. All rights reserved.
|
||||
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2001 Agere Systems All rights reserved.
|
||||
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
|
||||
Sat Jan 06 06:25:01 2024
|
||||
|
||||
@@ -134,7 +134,7 @@ Total placer CPU time: 9 secs
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO256C
|
||||
Device: LCMXO256C
|
||||
Package: TQFP100
|
||||
Performance: 3
|
||||
Loading device for application par from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
|
||||
@@ -142,9 +142,9 @@ WARNING - par: The driver of secondary clock net nCRAS_c is not placed on one of
|
||||
Performance Hardware Data Status: Version 1.124.
|
||||
License checked out.
|
||||
|
||||
|
||||
|
||||
Ignore Preference Error(s): True
|
||||
|
||||
|
||||
<A name="par_dus"></A><B><U><big>Device utilization summary:</big></U></B>
|
||||
|
||||
PIO (prelim) 67/79 84% used
|
||||
@@ -159,41 +159,44 @@ Note: NBR uses a different method to calculate timing slacks. The
|
||||
Pin Constraint Summary:
|
||||
67 out of 67 pins locked (100% locked).
|
||||
|
||||
The following 2 signals are selected to use the primary clock routing resources:
|
||||
The following 2 signals are selected to use the primary clock routing resources:
|
||||
RCLK_c (driver: RCLK, clk load #: 32)
|
||||
PHI2_c (driver: PHI2, clk load #: 14)
|
||||
PHI2_c (driver: PHI2, clk load #: 14)
|
||||
|
||||
The following 1 signal is selected to use the secondary clock routing resources:
|
||||
nCRAS_c (driver: nCRAS, clk load #: 8, sr load #: 0, ce load #: 0)
|
||||
The following 1 signal is selected to use the secondary clock routing resources:
|
||||
nCRAS_c (driver: nCRAS, clk load #: 8, sr load #: 0, ce load #: 0)
|
||||
|
||||
No signal is selected as Global Set/Reset.
|
||||
Starting Placer Phase 0.
|
||||
No signal is selected as Global Set/Reset.
|
||||
Starting Placer Phase 0.
|
||||
......
|
||||
Finished Placer Phase 0. REAL time: 0 secs
|
||||
|
||||
Finished Placer Phase 0. REAL time: 0 secs
|
||||
|
||||
Starting Placer Phase 1.
|
||||
.................
|
||||
Placer score = 582801.
|
||||
.................
|
||||
Placer score = 783987.
|
||||
Finished Placer Phase 1. REAL time: 9 secs
|
||||
|
||||
Starting Placer Phase 2.
|
||||
.
|
||||
Placer score = 582334
|
||||
Placer score = 783552
|
||||
Finished Placer Phase 2. REAL time: 10 secs
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
<A name="par_clk"></A><B><U><big>Clock Report</big></U></B>
|
||||
|
||||
Global Clock Resources:
|
||||
CLK_PIN : 1 out of 4 (25%)
|
||||
|
||||
General PIO: 1 out of 80 (1%)
|
||||
|
||||
CLK_PIN : 1 out of 4 (25%)
|
||||
Global Clocks:
|
||||
PRIMARY "RCLK_c" from comp "RCLK" on CLK_PIN site "86 (PT4A)", clk load = 32
|
||||
|
||||
PRIMARY "PHI2_c" from comp "PHI2" on PIO site "39 (PB3D)", clk load = 14
|
||||
SECONDARY "nCRAS_c" from comp "nCRAS" on PIO site "43 (PB4A)", clk load = 8, ce load = 0, sr load = 0
|
||||
|
||||
PRIMARY "PHI2_c" from comp "PHI2" on PIO site "39 (PB3D)", clk load = 14
|
||||
PRIMARY : 2 out of 4 (50%)
|
||||
SECONDARY: 1 out of 4 (25%)
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
@@ -201,7 +204,7 @@ NBR Summary
|
||||
67 out of 79 (84.8%) PIO sites used.
|
||||
67 out of 78 (85.9%) bonded PIO sites used.
|
||||
Number of PIO comps: 67; differential: 0.
|
||||
67 out of 79 (84.8%) PIO sites used.
|
||||
Number of Vref pins used: 0.
|
||||
|
||||
I/O Bank Usage Summary:
|
||||
+----------+----------------+------------+------------+------------+
|
||||
@@ -212,9 +215,9 @@ WARNING - par: The following clock signals will be routed by using generic routi
|
||||
+----------+----------------+------------+------------+------------+
|
||||
|
||||
Total placer CPU time: 9 secs
|
||||
+----------+----------------+------------+------------+------------+
|
||||
|
||||
Dumping design to file RAM2GS_LCMXO256C_impl1.dir/5_1.ncd.
|
||||
Total placer CPU time: 9 secs
|
||||
|
||||
0 connections routed; 681 unrouted.
|
||||
Starting router resource preassignment
|
||||
WARNING - par: The driver of primary clock net PHI2_c is not placed on one of the PIO sites dedicated for primary clocks. This primary clock will be routed to a H-spine through general routing resource and may suffer from excessive delay or skew.
|
||||
@@ -228,14 +231,14 @@ All signals are completely routed.
|
||||
Start NBR router at 06:25:11 01/06/24
|
||||
|
||||
*****************************************************************
|
||||
Start NBR router at 05:38:42 09/21/23
|
||||
Info: NBR allows conflicts(one node used by more than one signal)
|
||||
in the earlier iterations. In each iteration, it tries to
|
||||
solve the conflicts while keeping the critical connections
|
||||
routed as short as possible. The routing process is said to
|
||||
be completed when no conflicts exist and all connections
|
||||
are routed.
|
||||
Note: NBR uses a different method to calculate timing slacks. The
|
||||
be completed when no conflicts exist and all connections
|
||||
worst slack and total negative slack may not be the same as
|
||||
that in TRCE report. You should always run TRCE to verify
|
||||
your design.
|
||||
*****************************************************************
|
||||
|
||||
@@ -13,7 +13,7 @@ Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
|
||||
# Written on Thu Sep 21 05:38:19 2023
|
||||
# Written on Sat Jan 6 06:24:50 2024
|
||||
|
||||
##### FILES SYNTAX CHECKED ##############################################
|
||||
Constraint File(s): "\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS.sdc"
|
||||
|
||||
@@ -62,7 +62,7 @@
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
|
||||
@@ -12,7 +12,7 @@
|
||||
font-weight: bold;
|
||||
margin-top: 24px;
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
@@ -59,19 +59,22 @@ Synopsys Verilog Compiler, Version comp202103synp2, Build 093R, Built Aug 10 202
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
text-decoration:none;
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
color:#013C9A;
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
.pass
|
||||
color:#5BAFD4;
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
.fail
|
||||
@@ -98,7 +101,7 @@ At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s;
|
||||
#Implementation: impl1
|
||||
|
||||
|
||||
#Implementation: impl1
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
|
||||
and may only be used pursuant to the terms and conditions of a written license agreement
|
||||
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
|
||||
@@ -113,7 +116,7 @@ At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory
|
||||
Implementation : impl1
|
||||
Synopsys HDL Compiler, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @
|
||||
|
||||
Implementation : impl1
|
||||
@N|Running in 64-bit mode
|
||||
###########################################################[
|
||||
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
@@ -134,18 +137,17 @@ Implementation : impl1
|
||||
@N|Running in 64-bit mode
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo.v" (library work)
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\lucent\pmi_def.v" (library work)
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\hypermods.v" (library __hyper__lib__)
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\umr_capim.v" (library snps_haps)
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_objects.v" (library snps_haps)
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_pipes.svh" (library snps_haps)
|
||||
@I::"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-SPI.v" (library work)
|
||||
Verilog syntax check successful!
|
||||
|
||||
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_pipes.svh" (library snps_haps)
|
||||
Compiler output is up to date. No re-compile necessary
|
||||
|
||||
Selecting top level module RAM2GS
|
||||
Selecting top level module RAM2GS
|
||||
@N: CG364 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-SPI.v":1:7:1:12|Synthesizing module RAM2GS in library work.
|
||||
Running optimization stage 1 on RAM2GS .......
|
||||
@N: CG364 :"\\Mac\iCloud\Repos\RAM2GS\CPLD\RAM2GS-SPI.v":1:7:1:12|Synthesizing module RAM2GS in library work.
|
||||
Running optimization stage 1 on RAM2GS .......
|
||||
Finished optimization stage 1 on RAM2GS (CPU Time 0h:00m:00s, Memory Used current: 100MB peak: 100MB)
|
||||
Running optimization stage 2 on RAM2GS .......
|
||||
@@ -167,7 +169,7 @@ Synopsys Lattice Technology Pre-mapping, Version map202103lat, Build 070R, Built
|
||||
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
|
||||
Synopsys software or the associated documentation is strictly prohibited.
|
||||
Tool: Synplify Pro (R)
|
||||
Install: C:\lscc\diamond\3.12\synpbase
|
||||
Build: R-2021.03L-SP1
|
||||
Install: C:\lscc\diamond\3.12\synpbase
|
||||
OS: Windows 6.2
|
||||
|
||||
@@ -195,7 +197,6 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h
|
||||
|
||||
At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 30MB peak: 31MB)
|
||||
|
||||
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
@@ -214,17 +215,17 @@ Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h
|
||||
Install: C:\lscc\diamond\3.12\synpbase
|
||||
OS: Windows 6.2
|
||||
|
||||
Implementation : impl1
|
||||
Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
@N|Running in 64-bit mode
|
||||
Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @
|
||||
|
||||
@N|Running in 64-bit mode
|
||||
|
||||
File \\Mac\iCloud\Repos\RAM2GS\CPLD\LCMXO256C\impl1\synwork\RAM2GS_LCMXO256C_impl1_comp.srs changed - recompiling
|
||||
|
||||
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 98MB)
|
||||
|
||||
# Thu Sep 21 05:38:18 2023
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
|
||||
Process completed successfully.
|
||||
# Sat Jan 6 06:24:49 2024
|
||||
@@ -291,10 +292,10 @@ Clock Tree ID Driving Element Drive Element Type Fanout Sample I
|
||||
@N: FX493 |Applying initial value "0" on instance XOR8MEG.
|
||||
@N: FX493 |Applying initial value "1" on instance nUFMCS.
|
||||
@N: FX493 |Applying initial value "0" on instance UFMSDI.
|
||||
@N: FX493 |Applying initial value "0" on instance UFMCLK.
|
||||
@N: FX493 |Applying initial value "0" on instance UFMCLK.
|
||||
@N: FX493 |Applying initial value "0" on instance CmdEnable.
|
||||
@N: FX493 |Applying initial value "1" on instance nRWE.
|
||||
|
||||
|
||||
Starting clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
|
||||
|
||||
@@ -303,13 +304,11 @@ Pre-mapping successful!
|
||||
|
||||
Starting clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
|
||||
|
||||
Finished clock optimization report phase (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
|
||||
Finished clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
|
||||
@N: FX1184 |Applying syn_allowed_resources blockrams=0 on top level netlist RAM2GS
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 181MB peak: 181MB)
|
||||
|
||||
|
||||
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
|
||||
|
||||
@@ -328,29 +327,29 @@ Implementation : impl1
|
||||
|
||||
0 - nCCAS 2.9 MHz 350.000 declared default_clkgroup 8
|
||||
=======================================================================================
|
||||
|
||||
|
||||
|
||||
|
||||
Clock Load Summary
|
||||
***********************
|
||||
|
||||
----------------------------------------------------------------------------------------
|
||||
Clock Source Clock Pin Non-clock Pin Non-clock Pin
|
||||
Clock Load Pin Seq Example Seq Example Comb Example
|
||||
----------------------------------------------------------------------------------------
|
||||
PHI2 19 PHI2(port) Bank[7:0].C PHI2r.D[0] un1_PHI2.I[0](inv)
|
||||
RCLK 48 RCLK(port) CASr2.C - -
|
||||
|
||||
PHI2 19 PHI2(port) Bank[7:0].C PHI2r.D[0] un1_PHI2.I[0](inv)
|
||||
|
||||
|
||||
nCRAS 14 nCRAS(port) CBR.C RASr.D[0] RASr_2.I[0](inv)
|
||||
|
||||
nCCAS 8 nCCAS(port) WRD[7:0].C CASr.D[0] CASr_2.I[0](inv)
|
||||
========================================================================================
|
||||
|
||||
ICG Latch Removal Summary:
|
||||
For details review file gcc_ICG_report.rpt
|
||||
Number of ICG latches removed: 0
|
||||
Number of ICG latches not removed: 0
|
||||
For details review file gcc_ICG_report.rpt
|
||||
@S |Clock Optimization Summary
|
||||
|
||||
|
||||
@S |Clock Optimization Summary
|
||||
|
||||
@@ -360,10 +359,10 @@ Finished RTL optimizations (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00
|
||||
|
||||
4 non-gated/non-generated clock tree(s) driving 89 clock pin(s) of sequential element(s)
|
||||
0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
|
||||
=========================== Non-Gated/Non-Generated Clocks ============================
|
||||
0 instances converted, 0 sequential instances remain driven by gated/generated clocks
|
||||
|
||||
=========================== Non-Gated/Non-Generated Clocks ============================
|
||||
@KP:ckid0_0 RCLK port 48 nRWE
|
||||
Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance
|
||||
---------------------------------------------------------------------------------------
|
||||
@KP:ckid0_0 RCLK port 48 nRWE
|
||||
@KP:ckid0_1 PHI2 port 19 RA11
|
||||
@@ -376,64 +375,61 @@ Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:01s; CP
|
||||
|
||||
@N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized.
|
||||
Finished Pre Mapping Phase.
|
||||
|
||||
|
||||
Starting constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
|
||||
|
||||
|
||||
|
||||
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
|
||||
|
||||
|
||||
|
||||
Finished constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 182MB peak: 182MB)
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 98MB peak: 184MB)
|
||||
Pre-mapping successful!
|
||||
|
||||
At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 98MB peak: 184MB)
|
||||
|
||||
|
||||
###########################################################]
|
||||
Map & Optimize Report
|
||||
|
||||
# Thu Sep 21 05:38:21 2023
|
||||
|
||||
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
|
||||
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
|
||||
# Sat Jan 6 06:24:51 2024
|
||||
|
||||
###########################################################]
|
||||
# Sat Jan 6 06:24:51 2024
|
||||
|
||||
|
||||
Copyright (C) 1994-2021 Synopsys, Inc.
|
||||
This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
|
||||
Build: R-2021.03L-SP1
|
||||
and may only be used pursuant to the terms and conditions of a written license agreement
|
||||
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
|
||||
Synopsys software or the associated documentation is strictly prohibited.
|
||||
Tool: Synplify Pro (R)
|
||||
|
||||
Hostname: ZANEMACWIN11
|
||||
|
||||
Implementation : impl1
|
||||
Synopsys Lattice Technology Mapper, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @
|
||||
Build: R-2021.03L-SP1
|
||||
Install: C:\lscc\diamond\3.12\synpbase
|
||||
OS: Windows 6.2
|
||||
|
||||
Hostname: ZANEMACWIN11
|
||||
|
||||
|
||||
Implementation : impl1
|
||||
Synopsys Lattice Technology Mapper, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @
|
||||
|
||||
@N: MF916 |Option synthesis_strategy=base is enabled.
|
||||
|
||||
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB)
|
||||
|
||||
|
||||
@N: MF916 |Option synthesis_strategy=base is enabled.
|
||||
@N: MF248 |Running in 64-bit mode.
|
||||
@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 130MB peak: 140MB)
|
||||
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 139MB)
|
||||
|
||||
|
||||
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 139MB)
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 147MB)
|
||||
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB)
|
||||
|
||||
|
||||
|
||||
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 147MB)
|
||||
|
||||
|
||||
|
||||
|
||||
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 178MB peak: 178MB)
|
||||
|
||||
|
||||
@@ -442,7 +438,7 @@ Start final timing analysis (Real Time elapsed 0h:00m:05s; CPU Time elapsed 0h:0
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-spi.v":147:4:147:9|Found counter in view:work.RAM2GS(verilog) instance IS[3:0]
|
||||
@N: MO231 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-spi.v":134:4:134:9|Found counter in view:work.RAM2GS(verilog) instance FS[17:0]
|
||||
@N: FX493 |Applying initial value "0" on instance IS[0].
|
||||
@N: FX493 |Applying initial value "0" on instance IS[3].
|
||||
@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved.
|
||||
@N: FX493 |Applying initial value "0" on instance IS[1].
|
||||
@N: FX493 |Applying initial value "0" on instance IS[2].
|
||||
@N: FX493 |Applying initial value "0" on instance IS[3].
|
||||
@@ -475,8 +471,8 @@ nCRAS 2.9 MHz 0.6 MHz 350.000 1613.150 -3.60
|
||||
Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 189MB peak: 189MB)
|
||||
|
||||
Pass CPU time Worst Slack Luts / Registers
|
||||
3 0h:00m:01s -3.23ns 123 / 89
|
||||
------------------------------------------------------------
|
||||
1 0h:00m:01s -4.01ns 133 / 89
|
||||
2 0h:00m:01s -3.96ns 131 / 89
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-spi.v":105:4:105:9|Replicating instance CBR (in view: work.RAM2GS(verilog)) with 8 loads 1 time to improve timing.
|
||||
@N: FX271 :"\\mac\icloud\repos\ram2gs\cpld\ram2gs-spi.v":105:4:105:9|Replicating instance FWEr (in view: work.RAM2GS(verilog)) with 7 loads 1 time to improve timing.
|
||||
@@ -490,10 +486,10 @@ Clocks | rise to rise | fall to fall | rise to
|
||||
|
||||
|
||||
5 0h:00m:02s -3.08ns 140 / 92
|
||||
9 0h:00m:02s -3.09ns 127 / 92
|
||||
6 0h:00m:02s -3.19ns 140 / 92
|
||||
7 0h:00m:02s -3.19ns 140 / 92
|
||||
8 0h:00m:02s -3.19ns 140 / 92
|
||||
12 0h:00m:02s -3.19ns 127 / 92
|
||||
9 0h:00m:02s -3.19ns 140 / 92
|
||||
|
||||
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 191MB peak: 191MB)
|
||||
|
||||
@@ -527,10 +523,10 @@ CmdUFMCS PHI2 FD1P3AX Q CmdUFMCS 1.348
|
||||
|
||||
##### START OF TIMING REPORT #####[
|
||||
# Timing report written on Sat Jan 6 06:24:55 2024
|
||||
#
|
||||
|
||||
|
||||
Top view: RAM2GS
|
||||
#
|
||||
|
||||
|
||||
Top view: RAM2GS
|
||||
Requested Frequency: 2.9 MHz
|
||||
Wire load mode: top
|
||||
Paths requested: 3
|
||||
@@ -544,13 +540,13 @@ Instance Reference Type Pin Net Time
|
||||
|
||||
Performance Summary
|
||||
*******************
|
||||
|
||||
|
||||
Worst slack in design: -3.705
|
||||
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
-------------------------------------------------------------------------------------------------------------------
|
||||
|
||||
|
||||
Worst slack in design: -3.705
|
||||
|
||||
Requested Estimated Requested Estimated Clock Clock
|
||||
Starting Clock Frequency Frequency Period Period Slack Type Group
|
||||
-------------------------------------------------------------------------------------------------------------------
|
||||
PHI2 2.9 MHz 0.6 MHz 350.000 1646.750 -3.705 declared default_clkgroup
|
||||
RCLK 62.5 MHz 13.3 MHz 16.000 75.280 -2.312 declared default_clkgroup
|
||||
nCCAS 2.9 MHz NA 350.000 NA NA declared default_clkgroup
|
||||
@@ -582,7 +578,7 @@ CmdSubmitted FD1S3AX Q Out 1.552 1.552 r -
|
||||
===============================================================================================================
|
||||
Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
|
||||
'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
|
||||
|
||||
|
||||
|
||||
|
||||
Interface Information
|
||||
@@ -613,7 +609,7 @@ CmdSubmitted FD1S3AX Q Out 1.552 1.552 r -
|
||||
Cmdn8MEGEN PHI2 FD1P3AX Q Cmdn8MEGEN 1.456 -2.216
|
||||
Bank[0] PHI2 FD1S3AX Q Bank[0] 1.348 165.215
|
||||
Bank[1] PHI2 FD1S3AX Q Bank[1] 1.348 165.215
|
||||
Bank[4] PHI2 FD1S3AX Q Bank[4] 1.348 166.500
|
||||
Bank[2] PHI2 FD1S3AX Q Bank[2] 1.348 165.215
|
||||
Bank[3] PHI2 FD1S3AX Q Bank[3] 1.348 165.215
|
||||
=======================================================================================
|
||||
|
||||
@@ -644,7 +640,7 @@ CmdSubmitted FD1S3AX Q Out 1.552 1.552 r -
|
||||
|
||||
|
||||
Path information for path number 1:
|
||||
Requested Period: 1.000
|
||||
Requested Period: 1.000
|
||||
- Setup time: 1.003
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: -0.003
|
||||
@@ -663,21 +659,21 @@ Detailed Report for Clock: RCLK
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------
|
||||
CmdSubmitted FD1S3AX Q Out 1.552 1.552 r -
|
||||
CmdSubmitted Net - - - - 3
|
||||
PHI2r3_RNITCN41 ORCALUT4 A In 0.000 1.552 r -
|
||||
PHI2r3_RNITCN41 ORCALUT4 Z Out 1.393 2.945 r -
|
||||
N_139_i Net - - - - 3
|
||||
UFMCLK_RNO ORCALUT4 A In 0.000 2.945 r -
|
||||
UFMCLK_RNO ORCALUT4 Z Out 0.757 3.702 r -
|
||||
UFMCLK_RNO Net - - - - 1
|
||||
UFMCLK FD1S3AX D In 0.000 3.702 r -
|
||||
==================================================================================
|
||||
|
||||
|
||||
Path information for path number 2:
|
||||
Requested Period: 1.000
|
||||
- Setup time: 1.003
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
CmdSubmitted Net - - - - 3
|
||||
PHI2r3_RNITCN41 ORCALUT4 A In 0.000 1.552 r -
|
||||
PHI2r3_RNITCN41 ORCALUT4 Z Out 1.393 2.945 r -
|
||||
N_137_i Net - - - - 3
|
||||
UFMCLK_RNO ORCALUT4 A In 0.000 2.945 r -
|
||||
UFMCLK_RNO ORCALUT4 Z Out 0.757 3.702 r -
|
||||
UFMCLK_RNO Net - - - - 1
|
||||
UFMCLK FD1S3AX D In 0.000 3.702 r -
|
||||
==================================================================================
|
||||
|
||||
|
||||
Path information for path number 2:
|
||||
Requested Period: 1.000
|
||||
- Setup time: 1.003
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: -0.003
|
||||
|
||||
- Propagation time: 3.702
|
||||
@@ -687,16 +683,16 @@ Ending Points with Worst Slack
|
||||
Number of logic level(s): 2
|
||||
Starting point: CmdSubmitted / Q
|
||||
Ending point: nUFMCS / D
|
||||
The start point is clocked by PHI2 [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The start point is clocked by PHI2 [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
-----------------------------------------------------------------------------------
|
||||
CmdSubmitted FD1S3AX Q Out 1.552 1.552 r -
|
||||
CmdSubmitted Net - - - - 3
|
||||
PHI2r3_RNITCN41 ORCALUT4 A In 0.000 1.552 r -
|
||||
CmdSubmitted FD1S3AX Q Out 1.552 1.552 r -
|
||||
CmdSubmitted Net - - - - 3
|
||||
PHI2r3_RNITCN41 ORCALUT4 A In 0.000 1.552 r -
|
||||
PHI2r3_RNITCN41 ORCALUT4 Z Out 1.393 2.945 r -
|
||||
N_137_i Net - - - - 3
|
||||
nUFMCS_s_0_N_5_i ORCALUT4 A In 0.000 2.945 r -
|
||||
nUFMCS_s_0_N_5_i ORCALUT4 Z Out 0.757 3.702 r -
|
||||
@@ -726,9 +722,9 @@ Name Type Name Dir Delay Time Fan Out(s
|
||||
----------------------------------------------------------------------------------
|
||||
CmdSubmitted FD1S3AX Q Out 1.552 1.552 r -
|
||||
CmdSubmitted Net - - - - 3
|
||||
PHI2r3_RNITCN41 ORCALUT4 A In 0.000 1.552 r -
|
||||
PHI2r3_RNITCN41 ORCALUT4 A In 0.000 1.552 r -
|
||||
PHI2r3_RNITCN41 ORCALUT4 Z Out 1.393 2.945 r -
|
||||
N_139_i Net - - - - 3
|
||||
N_137_i Net - - - - 3
|
||||
UFMSDI_RNO ORCALUT4 A In 0.000 2.945 r -
|
||||
UFMSDI_RNO ORCALUT4 Z Out 0.757 3.702 r -
|
||||
UFMSDI_RNO Net - - - - 1
|
||||
@@ -749,16 +745,16 @@ Path information for path number 2:
|
||||
|
||||
Starting Arrival
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
-----------------------------------------------------------------------------
|
||||
LEDEN RCLK FD1P3AX Q LEDEN 1.552 -2.312
|
||||
n8MEGEN RCLK FD1P3AX Q n8MEGEN 1.456 -2.216
|
||||
FS[13] RCLK FD1S3AX Q FS[13] 1.552 7.560
|
||||
FS[14] RCLK FD1S3AX Q FS[14] 1.552 7.560
|
||||
FS[15] RCLK FD1S3AX Q FS[15] 1.552 7.560
|
||||
FS[17] RCLK FD1S3AX Q FS[17] 1.552 7.560
|
||||
S[1] RCLK FD1S3IX Q S[1] 1.768 8.533
|
||||
S[0] RCLK FD1S3IX Q CO0 1.756 8.545
|
||||
Clock
|
||||
--------------------------------------------------------------------------------
|
||||
LEDEN RCLK FD1P3AX Q LEDEN 1.552 -2.312
|
||||
n8MEGEN RCLK FD1P3AX Q n8MEGEN 1.456 -2.216
|
||||
FS[12] RCLK FD1S3AX Q FS[12] 1.552 7.464
|
||||
FS[14] RCLK FD1S3AX Q FS[14] 1.552 7.464
|
||||
FS[15] RCLK FD1S3AX Q FS[15] 1.552 7.464
|
||||
FS[17] RCLK FD1S3AX Q FS[17] 1.552 7.464
|
||||
InitReady RCLK FD1S3AX Q InitReady 1.792 8.569
|
||||
S[1] RCLK FD1S3IX Q S[1] 1.792 8.569
|
||||
S[0] RCLK FD1S3IX Q CO0 1.780 8.581
|
||||
FS[13] RCLK FD1S3AX Q FS[13] 1.612 8.593
|
||||
================================================================================
|
||||
@@ -784,7 +780,7 @@ n8MEGEN FD1P3AX Q Out 1.456 1.456 r -
|
||||
=========================================================================================
|
||||
|
||||
|
||||
|
||||
|
||||
Worst Path Information
|
||||
***********************
|
||||
|
||||
@@ -804,10 +800,10 @@ Starting Points with Worst Slack
|
||||
Ending point: CmdLEDEN / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by PHI2 [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
---------------------------------------------------------------------------------
|
||||
---------------------------------------------------------------------------------
|
||||
LEDEN FD1P3AX Q Out 1.552 1.552 r -
|
||||
LEDEN Net - - - - 3
|
||||
CmdLEDEN_RNO ORCALUT4 B In 0.000 1.552 r -
|
||||
@@ -818,11 +814,11 @@ Ending Points with Worst Slack
|
||||
|
||||
|
||||
Path information for path number 2:
|
||||
Requested Period: 1.000
|
||||
- Setup time: 1.003
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: -0.003
|
||||
|
||||
Requested Period: 1.000
|
||||
- Setup time: 1.003
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: -0.003
|
||||
|
||||
- Propagation time: 2.309
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -2.312
|
||||
@@ -842,24 +838,24 @@ Path information for path number 1:
|
||||
XOR8MEG_3_u_0_a3_0_2 ORCALUT4 Z Out 0.757 2.309 f -
|
||||
XOR8MEG_3 Net - - - - 1
|
||||
XOR8MEG FD1P3AX D In 0.000 2.309 f -
|
||||
=====================================================================================
|
||||
|
||||
=======================================================================================
|
||||
|
||||
|
||||
Path information for path number 3:
|
||||
Requested Period: 1.000
|
||||
- Setup time: 1.003
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: -0.003
|
||||
|
||||
- Propagation time: 2.213
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -2.216
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: n8MEGEN / Q
|
||||
Ending point: Cmdn8MEGEN / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by PHI2 [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
- Setup time: 1.003
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: -0.003
|
||||
|
||||
- Propagation time: 2.213
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -2.216
|
||||
|
||||
Number of logic level(s): 1
|
||||
Starting point: n8MEGEN / Q
|
||||
Ending point: Cmdn8MEGEN / D
|
||||
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by PHI2 [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
@@ -873,24 +869,24 @@ Path information for path number 2:
|
||||
=================================================================================
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
====================================
|
||||
Detailed Report for Clock: nCRAS
|
||||
====================================
|
||||
|
||||
|
||||
|
||||
Starting Points with Worst Slack
|
||||
********************************
|
||||
|
||||
Starting Arrival
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
--------------------------------------------------------------------------------
|
||||
CBR nCRAS FD1S3AX Q CBR 1.660 -3.609
|
||||
CBR_fast nCRAS FD1S3AX Q CBR_fast 1.456 -3.513
|
||||
FWEr nCRAS FD1S3AX Q FWEr 1.552 -3.501
|
||||
|
||||
|
||||
|
||||
Starting Points with Worst Slack
|
||||
********************************
|
||||
|
||||
Starting Arrival
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
--------------------------------------------------------------------------------
|
||||
CBR_fast nCRAS FD1S3AX Q CBR_fast 1.552 -3.609
|
||||
CBR nCRAS FD1S3AX Q CBR 1.612 -3.561
|
||||
FWEr nCRAS FD1S3AX Q FWEr 1.552 -3.501
|
||||
FWEr_fast nCRAS FD1S3AX Q FWEr_fast 1.552 -3.501
|
||||
================================================================================
|
||||
|
||||
@@ -899,29 +895,29 @@ Path information for path number 3:
|
||||
******************************
|
||||
|
||||
Starting Required
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Instance Reference Type Pin Net Time Slack
|
||||
Clock
|
||||
---------------------------------------------------------------------------------------
|
||||
---------------------------------------------------------------------------------------
|
||||
nRCAS nCRAS FD1S3AY D N_46_i -0.003 -3.609
|
||||
nRWE nCRAS FD1S3AY D N_144_i -0.003 -3.609
|
||||
nRCAS nCRAS FD1S3AY D N_37_i -0.003 -3.513
|
||||
nRowColSel nCRAS FD1S3IX D nRowColSel_0_0 -0.003 -3.561
|
||||
RCKEEN nCRAS FD1S3AX D RCKEEN_8 -0.003 -3.501
|
||||
nRCS nCRAS FD1S3AY D N_143_i -0.003 -3.501
|
||||
=======================================================================================
|
||||
|
||||
|
||||
|
||||
Worst Path Information
|
||||
***********************
|
||||
|
||||
|
||||
Path information for path number 1:
|
||||
Requested Period: 1.000
|
||||
- Setup time: 1.003
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: -0.003
|
||||
|
||||
- Propagation time: 3.606
|
||||
|
||||
|
||||
Worst Path Information
|
||||
***********************
|
||||
|
||||
|
||||
Path information for path number 1:
|
||||
Requested Period: 1.000
|
||||
- Setup time: 1.003
|
||||
+ Clock delay at ending point: 0.000 (ideal)
|
||||
= Required time: -0.003
|
||||
|
||||
- Propagation time: 3.606
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -3.609
|
||||
|
||||
@@ -929,10 +925,10 @@ nRCAS FD1S3AY D In 0.000 3.510 f -
|
||||
Starting point: CBR_fast / Q
|
||||
Ending point: nRCAS / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
----------------------------------------------------------------------------------------
|
||||
CBR_fast FD1S3AX Q Out 1.552 1.552 r -
|
||||
CBR_fast Net - - - - 3
|
||||
@@ -953,19 +949,19 @@ FD1S3IX: 14
|
||||
= Required time: -0.003
|
||||
|
||||
- Propagation time: 3.606
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
- Clock delay at starting point: 0.000 (ideal)
|
||||
= Slack (non-critical) : -3.609
|
||||
|
||||
Number of logic level(s): 2
|
||||
|
||||
Number of logic level(s): 2
|
||||
Starting point: CBR_fast / Q
|
||||
Ending point: nRWE / D
|
||||
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
|
||||
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
|
||||
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Instance / Net Pin Pin Arrival No. of
|
||||
Name Type Name Dir Delay Time Fan Out(s)
|
||||
--------------------------------------------------------------------------------------
|
||||
CBR FD1S3AX Q Out 1.660 1.660 r -
|
||||
----------------------------------------------------------------------------------------
|
||||
CBR_fast FD1S3AX Q Out 1.552 1.552 r -
|
||||
CBR_fast Net - - - - 3
|
||||
nRCAS_0_sqmuxa_1_0_a3 ORCALUT4 A In 0.000 1.552 r -
|
||||
nRCAS_0_sqmuxa_1_0_a3 ORCALUT4 Z Out 1.297 2.849 r -
|
||||
|
||||
@@ -22,7 +22,7 @@ Setup and Hold Report
|
||||
}
|
||||
h3 {
|
||||
font-weight: bold;
|
||||
margin-top: 12px;
|
||||
margin-top: 12px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
@@ -41,8 +41,8 @@ Report level: verbose report, limited to 1 item per preference
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
border-collapse: collapse;
|
||||
}
|
||||
th {
|
||||
}
|
||||
th {
|
||||
font-weight:bold;
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
@@ -50,8 +50,8 @@ Report: 400.000MHz is the maximum frequency for this preference.
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
text-align:left;
|
||||
font-size:78%;
|
||||
}
|
||||
font-size:78%;
|
||||
}
|
||||
td {
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
@@ -61,46 +61,48 @@ BLOCK RESETPATHS
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
a:hover, a:active {
|
||||
text-decoration:underline;
|
||||
color:#5BAFD4;
|
||||
}
|
||||
}
|
||||
.pass
|
||||
{
|
||||
background-color: #00ff00;
|
||||
}
|
||||
}
|
||||
.fail
|
||||
{
|
||||
{
|
||||
background-color: #ff0000;
|
||||
}
|
||||
.comment
|
||||
{
|
||||
{
|
||||
font-size: 90%;
|
||||
font-style: italic;
|
||||
}
|
||||
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Map_Twr"></A><B><U><big>Map TRACE Report</big></U></B>
|
||||
|
||||
Loading design for application trce from file ram2gs_lcmxo256c_impl1_map.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO256C
|
||||
}
|
||||
|
||||
-->
|
||||
</STYLE>
|
||||
</HEAD>
|
||||
<PRE><A name="Map_Twr"></A><B><U><big>Map TRACE Report</big></U></B>
|
||||
|
||||
Loading design for application trce from file ram2gs_lcmxo256c_impl1_map.ncd.
|
||||
Design name: RAM2GS
|
||||
NCD version: 3.3
|
||||
Vendor: LATTICE
|
||||
Device: LCMXO256C
|
||||
Package: TQFP100
|
||||
Performance: 3
|
||||
Loading device for application trce from file 'mj5g10x6.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
|
||||
Performance: 3
|
||||
Package Status: Final Version 1.19.
|
||||
Performance Hardware Data Status: Version 1.124.
|
||||
Package Status: Final Version 1.19.
|
||||
Setup and Hold Report
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
<A name="Map_Twr_setup"></A><B><U><big>Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454</big></U></B>
|
||||
@@ -141,46 +143,46 @@ Report: 400.000MHz is the maximum frequency for this preference.
|
||||
|
||||
|
||||
|
||||
|
||||
================================================================================
|
||||
<A name="map_twr_pref_0_0"></A>Preference: FREQUENCY PORT "PHI2" 2.900000 MHz ;
|
||||
168 items scored, 0 timing errors detected.
|
||||
--------------------------------------------------------------------------------
|
||||
129 items scored, 0 timing errors detected.
|
||||
|
||||
|
||||
Passed: The following path meets requirements by 160.807ns (weighted slack = 321.614ns)
|
||||
|
||||
Logical Details: Cell type Pin type Cell/ASIC name (clock net +/-)
|
||||
|
||||
|
||||
Source: FF Q Bank[6] (from PHI2_c +)
|
||||
|
||||
Destination: FF Data in CmdEnable (to PHI2_c -)
|
||||
|
||||
Delay: 11.433ns (24.4% logic, 75.6% route), 7 logic levels.
|
||||
|
||||
Delay: 9.621ns (25.1% logic, 74.9% route), 6 logic levels.
|
||||
Constraint Details:
|
||||
|
||||
Constraint Details:
|
||||
11.433ns physical path delay SLICE_75 to SLICE_20 meets
|
||||
172.414ns delay constraint less
|
||||
0.174ns DIN_SET requirement (totaling 172.240ns) by 160.807ns
|
||||
|
||||
0.174ns DIN_SET requirement (totaling 172.240ns) by 162.619ns
|
||||
Physical Path Details:
|
||||
|
||||
Data path SLICE_75 to SLICE_20:
|
||||
|
||||
Data path SLICE_71 to SLICE_22:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
REG_DEL --- 0.560 SLICE_71.CLK to SLICE_71.Q0 SLICE_71 (from PHI2_c)
|
||||
ROUTE 1 e 1.441 SLICE_71.Q0 to SLICE_56.A1 Bank[2]
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
REG_DEL --- 0.560 SLICE_75.CLK to SLICE_75.Q0 SLICE_75 (from PHI2_c)
|
||||
ROUTE 1 e 1.441 SLICE_75.Q0 to SLICE_77.C1 Bank[6]
|
||||
CTOF_DEL --- 0.371 SLICE_77.C1 to SLICE_77.F1 SLICE_77
|
||||
ROUTE 2 e 1.441 SLICE_77.F1 to SLICE_79.D0 un1_Bank_1_5
|
||||
CTOF_DEL --- 0.371 SLICE_79.D0 to SLICE_79.F0 SLICE_79
|
||||
ROUTE 1 e 1.441 SLICE_56.F1 to SLICE_70.D1 C1WR_0_a2_0_11
|
||||
CTOF_DEL --- 0.371 SLICE_70.D1 to SLICE_70.F1 SLICE_70
|
||||
ROUTE 6 e 1.441 SLICE_70.F1 to SLICE_67.D0 N_147
|
||||
CTOF_DEL --- 0.371 SLICE_67.D0 to SLICE_67.F0 SLICE_67
|
||||
ROUTE 5 e 1.441 SLICE_67.F0 to SLICE_82.A0 XOR8MEG18
|
||||
ROUTE 2 e 1.441 SLICE_79.F0 to SLICE_76.B0 C1WR_7
|
||||
CTOF_DEL --- 0.371 SLICE_76.B0 to SLICE_76.F0 SLICE_76
|
||||
ROUTE 5 e 1.441 SLICE_76.F0 to SLICE_70.B0 C1WR
|
||||
CTOF_DEL --- 0.371 SLICE_70.B0 to SLICE_70.F0 SLICE_70
|
||||
ROUTE 1 e 1.441 SLICE_70.F0 to SLICE_14.C1 N_121
|
||||
CTOF_DEL --- 0.371 SLICE_14.C1 to SLICE_14.F1 SLICE_14
|
||||
ROUTE 1 e 1.441 SLICE_82.F0 to SLICE_22.A0 CmdSubmitted_1_sqmuxa
|
||||
ROUTE 1 e 1.441 SLICE_14.F1 to SLICE_20.C0 un1_CmdEnable20_i
|
||||
CTOF_DEL --- 0.371 SLICE_20.C0 to SLICE_20.F0 SLICE_20
|
||||
ROUTE 1 e 0.001 SLICE_22.F0 to SLICE_22.DI0 N_460_0 (to PHI2_c)
|
||||
ROUTE 1 e 0.001 SLICE_20.F0 to SLICE_20.DI0 CmdEnable_s (to PHI2_c)
|
||||
--------
|
||||
11.433 (24.4% logic, 75.6% route), 7 logic levels.
|
||||
|
||||
@@ -188,13 +190,13 @@ Report: 88.456MHz is the maximum frequency for this preference.
|
||||
|
||||
|
||||
================================================================================
|
||||
<A name="map_twr_pref_0_1"></A>Preference: FREQUENCY PORT "nCCAS" 2.900000 MHz ;
|
||||
<A name="map_twr_pref_0_1"></A>Preference: FREQUENCY PORT "nCCAS" 2.900000 MHz ;
|
||||
0 items scored, 0 timing errors detected.
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
|
||||
Passed: The following path meets requirements by 342.328ns
|
||||
The internal maximum frequency of the following component is 400.000 MHz
|
||||
The internal maximum frequency of the following component is 400.000 MHz
|
||||
|
||||
Logical Details: Cell type Pin name Component name
|
||||
|
||||
@@ -249,11 +251,11 @@ Clock Domain: PHI2_c Source: PHI2.PAD Loads: 15
|
||||
Data path SLICE_1 to SLICE_52:
|
||||
|
||||
Name Fanout Delay (ns) Site Resource
|
||||
REG_DEL --- 0.560 SLICE_1.CLK to SLICE_1.Q1 SLICE_1 (from RCLK_c)
|
||||
REG_DEL --- 0.560 SLICE_1.CLK to SLICE_1.Q1 SLICE_1 (from RCLK_c)
|
||||
ROUTE 3 e 1.441 SLICE_1.Q1 to SLICE_86.D1 FS[17]
|
||||
CTOF_DEL --- 0.371 SLICE_86.D1 to SLICE_86.F1 SLICE_86
|
||||
ROUTE 1 e 1.441 SLICE_86.F1 to SLICE_69.C1 UFMSDI_ens2_i_o2_0_3
|
||||
CTOF_DEL --- 0.371 SLICE_72.C1 to SLICE_72.F1 SLICE_72
|
||||
CTOF_DEL --- 0.371 SLICE_69.C1 to SLICE_69.F1 SLICE_69
|
||||
ROUTE 4 e 1.441 SLICE_69.F1 to SLICE_58.C1 N_128
|
||||
CTOF_DEL --- 0.371 SLICE_58.C1 to SLICE_58.F1 SLICE_58
|
||||
ROUTE 3 e 1.441 SLICE_58.F1 to SLICE_55.C0 N_94
|
||||
@@ -272,13 +274,13 @@ Report level: verbose report, limited to 1 item per preference
|
||||
Preference | Constraint| Actual|Levels
|
||||
----------------------------------------------------------------------------
|
||||
| | |
|
||||
FREQUENCY PORT "PHI2" 2.900000 MHz ; | 2.900 MHz| 51.046 MHz| 6
|
||||
FREQUENCY PORT "PHI2" 2.900000 MHz ; | 2.900 MHz| 43.077 MHz| 7
|
||||
| | |
|
||||
FREQUENCY PORT "nCCAS" 2.900000 MHz ; | 2.900 MHz| 400.000 MHz| 0
|
||||
| | |
|
||||
FREQUENCY PORT "nCRAS" 2.900000 MHz ; | 2.900 MHz| 400.000 MHz| 0
|
||||
| | |
|
||||
FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 88.456 MHz| 6
|
||||
FREQUENCY PORT "RCLK" 62.500000 MHz ; | 62.500 MHz| 102.020 MHz| 6
|
||||
| | |
|
||||
----------------------------------------------------------------------------
|
||||
|
||||
@@ -288,7 +290,7 @@ BLOCK RESETPATHS
|
||||
|
||||
<A name="mtw1_set_clkda"></A><B><U><big>Clock Domains Analysis</big></U></B>
|
||||
------------------------
|
||||
|
||||
|
||||
Found 4 clocks:
|
||||
|
||||
Clock Domain: nCRAS_c Source: nCRAS.PAD Loads: 10
|
||||
@@ -334,7 +336,7 @@ ROUTE 1 e 0.001 SLICE_14.F0 to SLICE_14.DI0 C1Submitted_RNO (to P
|
||||
Cumulative negative slack: 0
|
||||
|
||||
Constraints cover 560 paths, 4 nets, and 424 connections (62.26% coverage)
|
||||
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
<A name="Map_Twr_hold"></A><B><U><big>Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454</big></U></B>
|
||||
Sat Jan 06 06:24:59 2024
|
||||
@@ -349,17 +351,17 @@ Passed: The following path meets requirements by 0.342ns
|
||||
------------------
|
||||
Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o RAM2GS_LCMXO256C_impl1.tw1 -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO256C/promote.xml RAM2GS_LCMXO256C_impl1_map.ncd RAM2GS_LCMXO256C_impl1.prf
|
||||
Design file: ram2gs_lcmxo256c_impl1_map.ncd
|
||||
Preference file: ram2gs_lcmxo256c_impl1.prf
|
||||
Preference file: ram2gs_lcmxo256c_impl1.prf
|
||||
Device,speed: LCMXO256C,M
|
||||
Report level: verbose report, limited to 1 item per preference
|
||||
--------------------------------------------------------------------------------
|
||||
|
||||
<A name="mtw1_hold_ps"></A><B><U><big>Preference Summary</big></U></B>
|
||||
|
||||
|
||||
<LI><A href='#map_twr_pref_1_0' Target='right'>FREQUENCY PORT "PHI2" 2.900000 MHz (0 errors)</A></LI> 168 items scored, 0 timing errors detected.
|
||||
|
||||
<LI><A href='#map_twr_pref_1_1' Target='right'>FREQUENCY PORT "nCCAS" 2.900000 MHz (0 errors)</A></LI> 0 items scored, 0 timing errors detected.
|
||||
|
||||
<LI><A href='#map_twr_pref_1_1' Target='right'>FREQUENCY PORT "nCCAS" 2.900000 MHz (0 errors)</A></LI> 0 items scored, 0 timing errors detected.
|
||||
|
||||
<LI><A href='#map_twr_pref_1_2' Target='right'>FREQUENCY PORT "nCRAS" 2.900000 MHz (0 errors)</A></LI> 0 items scored, 0 timing errors detected.
|
||||
|
||||
<LI><A href='#map_twr_pref_1_3' Target='right'>FREQUENCY PORT "RCLK" 62.500000 MHz (0 errors)</A></LI> 392 items scored, 0 timing errors detected.
|
||||
@@ -430,7 +432,7 @@ Clock Domain: PHI2_c Source: PHI2.PAD Loads: 15
|
||||
Destination: FF Data in CASr2 (to RCLK_c +)
|
||||
|
||||
Delay: 0.325ns (38.8% logic, 61.2% route), 1 logic levels.
|
||||
|
||||
|
||||
Constraint Details:
|
||||
|
||||
0.325ns physical path delay SLICE_74 to SLICE_74 meets
|
||||
|
||||
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
@@ -2,8 +2,9 @@
|
||||
Starting: parse design source files
|
||||
(VERI-1482) Analyzing Verilog file 'C:/lscc/diamond/3.12/ispfpga/userware/NT/SYNTHESIS_HEADERS/machxo.v'
|
||||
(VERI-1482) Analyzing Verilog file '//Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-SPI.v'
|
||||
WARNING - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-SPI.v(23,47-23,52) (VERI-1875) identifier 'Ready' is used before its declaration
|
||||
INFO - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-SPI.v(1,8-1,14) (VERI-1018) compiling module 'RAM2GS'
|
||||
INFO - //Mac/iCloud/Repos/RAM2GS/CPLD/RAM2GS-SPI.v(1,1-410,10) (VERI-9000) elaborating module 'RAM2GS'
|
||||
Done: design load finished with (0) errors, and (0) warnings
|
||||
Done: design load finished with (0) errors, and (1) warnings
|
||||
|
||||
</PRE></BODY></HTML>
|
||||
@@ -29,7 +29,7 @@ Performance Hardware Data Status: Version 1.124.
|
||||
// Package: TQFP100
|
||||
// ncd File: ram2gs_lcmxo256c_impl1.ncd
|
||||
// Version: Diamond (64-bit) 3.12.1.454
|
||||
// Written on Thu Sep 21 05:38:44 2023
|
||||
// Written on Sat Jan 06 06:25:13 2024
|
||||
// M: Minimum Performance Grade
|
||||
// iotiming RAM2GS_LCMXO256C_impl1.ncd RAM2GS_LCMXO256C_impl1.prf -gui -msgset //Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO256C/promote.xml
|
||||
|
||||
@@ -41,98 +41,98 @@ Worst Case Results across Performance Grades (M, 5, 4, 3):
|
||||
|
||||
Port Clock Edge Setup Performance_Grade Hold Performance_Grade
|
||||
----------------------------------------------------------------------
|
||||
CROW[0] nCRAS F -0.006 M 1.904 3
|
||||
CROW[1] nCRAS F -0.006 M 1.904 3
|
||||
Din[0] PHI2 F 4.101 3 2.207 3
|
||||
Din[0] nCCAS F 1.552 3 -0.018 M
|
||||
Din[1] PHI2 F 2.668 3 3.026 3
|
||||
Din[1] nCCAS F 0.606 3 0.745 3
|
||||
Din[2] PHI2 F 2.073 3 2.917 3
|
||||
Din[2] nCCAS F 0.500 3 0.619 3
|
||||
Din[3] PHI2 F 2.620 3 3.334 3
|
||||
Din[3] nCCAS F -0.089 M 1.336 3
|
||||
Din[4] PHI2 F 5.116 3 2.411 3
|
||||
Din[4] nCCAS F 0.293 3 1.125 3
|
||||
Din[5] PHI2 F 5.590 3 2.084 3
|
||||
Din[5] nCCAS F 0.435 3 0.979 3
|
||||
Din[6] PHI2 F 5.951 3 1.726 3
|
||||
Din[6] nCCAS F 1.305 3 0.253 3
|
||||
Din[7] PHI2 F 4.412 3 1.404 3
|
||||
Din[7] nCCAS F 0.195 3 1.215 3
|
||||
MAin[0] PHI2 F 3.306 3 1.176 3
|
||||
MAin[0] nCRAS F -0.132 M 2.336 3
|
||||
MAin[1] PHI2 F 2.656 3 2.511 3
|
||||
MAin[1] nCRAS F -0.034 M 2.014 3
|
||||
MAin[2] PHI2 F 6.839 3 -0.310 M
|
||||
MAin[2] nCRAS F -0.154 M 2.424 3
|
||||
MAin[3] PHI2 F 6.871 3 -0.311 M
|
||||
MAin[3] nCRAS F -0.015 M 1.928 3
|
||||
MAin[4] PHI2 F 7.111 3 -0.361 M
|
||||
MAin[4] nCRAS F 0.370 3 1.590 3
|
||||
MAin[5] PHI2 F 7.075 3 -0.353 M
|
||||
MAin[5] nCRAS F -0.126 M 2.320 3
|
||||
MAin[6] PHI2 F 6.794 3 -0.295 M
|
||||
MAin[6] nCRAS F 0.010 3 1.885 3
|
||||
MAin[7] PHI2 F 6.926 3 -0.324 M
|
||||
MAin[7] nCRAS F 0.319 3 1.622 3
|
||||
MAin[8] nCRAS F -0.038 M 2.031 3
|
||||
MAin[9] nCRAS F 0.366 3 1.596 3
|
||||
PHI2 RCLK R 2.295 3 -0.174 M
|
||||
UFMSDO RCLK R 1.364 3 0.511 3
|
||||
nCCAS RCLK R 2.300 3 -0.185 M
|
||||
nCCAS nCRAS F 0.216 3 1.721 3
|
||||
nCRAS RCLK R 4.548 3 -0.507 M
|
||||
nFWE PHI2 F 6.729 3 -0.281 M
|
||||
nFWE nCRAS F -0.037 M 2.025 3
|
||||
CROW[0] nCRAS F -0.006 M 1.907 3
|
||||
CROW[1] nCRAS F -0.006 M 1.907 3
|
||||
Din[0] PHI2 F 4.304 3 2.935 3
|
||||
Din[0] nCCAS F 0.567 3 0.723 3
|
||||
Din[1] PHI2 F 4.920 3 3.034 3
|
||||
Din[1] nCCAS F 0.414 3 0.851 3
|
||||
Din[2] PHI2 F 3.171 3 3.327 3
|
||||
Din[2] nCCAS F 0.909 3 0.432 3
|
||||
Din[3] PHI2 F 4.332 3 2.525 3
|
||||
Din[3] nCCAS F 0.038 3 1.155 3
|
||||
Din[4] PHI2 F 5.624 3 2.635 3
|
||||
Din[4] nCCAS F 1.448 3 -0.041 M
|
||||
Din[5] PHI2 F 4.126 3 2.124 3
|
||||
Din[5] nCCAS F 1.046 3 0.159 3
|
||||
Din[6] PHI2 F 5.565 3 2.394 3
|
||||
Din[6] nCCAS F 0.563 3 0.729 3
|
||||
Din[7] PHI2 F 5.293 3 1.654 3
|
||||
Din[7] nCCAS F 0.719 3 0.583 3
|
||||
MAin[0] PHI2 F 8.072 3 -0.164 M
|
||||
MAin[0] nCRAS F -0.128 M 2.331 3
|
||||
MAin[1] PHI2 F 7.487 3 -0.178 M
|
||||
MAin[1] nCRAS F -0.129 M 2.331 3
|
||||
MAin[2] PHI2 F 6.793 3 -0.034 M
|
||||
MAin[2] nCRAS F -0.129 M 2.331 3
|
||||
MAin[3] PHI2 F 7.235 3 -0.226 M
|
||||
MAin[3] nCRAS F -0.035 M 2.023 3
|
||||
MAin[4] PHI2 F 7.305 3 -0.207 M
|
||||
MAin[4] nCRAS F 0.428 3 1.517 3
|
||||
MAin[5] PHI2 F 7.672 3 -0.236 M
|
||||
MAin[5] nCRAS F -0.037 M 2.028 3
|
||||
MAin[6] PHI2 F 9.015 3 -0.710 M
|
||||
MAin[6] nCRAS F -0.003 M 1.896 3
|
||||
MAin[7] PHI2 F 7.764 3 -0.441 M
|
||||
MAin[7] nCRAS F -0.126 M 2.324 3
|
||||
MAin[8] nCRAS F -0.038 M 2.034 3
|
||||
MAin[9] nCRAS F 0.206 3 1.728 3
|
||||
PHI2 RCLK R 2.769 3 -0.274 M
|
||||
UFMSDO RCLK R 1.753 3 -0.052 M
|
||||
nCCAS RCLK R 1.935 3 -0.108 M
|
||||
nCCAS nCRAS F 0.843 3 1.179 3
|
||||
nCRAS RCLK R 1.093 3 0.277 3
|
||||
nFWE PHI2 F 4.435 3 0.640 3
|
||||
nFWE nCRAS F 1.163 3 0.894 3
|
||||
|
||||
|
||||
// Clock to Output Delay
|
||||
|
||||
Port Clock Edge Max_Delay Performance_Grade Min_Delay Performance_Grade
|
||||
------------------------------------------------------------------------
|
||||
LED RCLK R 13.764 3 4.455 M
|
||||
LED nCRAS F 16.500 3 4.993 M
|
||||
RA[0] RCLK R 10.114 3 2.098 M
|
||||
RA[0] nCRAS F 11.793 3 2.420 M
|
||||
RA[10] RCLK R 8.581 3 1.780 M
|
||||
LED RCLK R 15.407 3 4.713 M
|
||||
LED nCRAS F 17.685 3 5.215 M
|
||||
RA[0] RCLK R 10.436 3 2.152 M
|
||||
RA[0] nCRAS F 11.488 3 2.330 M
|
||||
RA[10] RCLK R 8.093 3 1.668 M
|
||||
RA[11] PHI2 R 9.420 3 1.925 M
|
||||
RA[1] RCLK R 11.717 3 2.429 M
|
||||
RA[1] nCRAS F 12.171 3 2.492 M
|
||||
RA[2] RCLK R 9.514 3 1.971 M
|
||||
RA[2] nCRAS F 11.301 3 2.319 M
|
||||
RA[3] RCLK R 10.525 3 2.169 M
|
||||
RA[3] nCRAS F 12.042 3 2.459 M
|
||||
RA[4] RCLK R 11.387 3 2.343 M
|
||||
RA[4] nCRAS F 12.532 3 2.543 M
|
||||
RA[5] RCLK R 10.114 3 2.098 M
|
||||
RA[5] nCRAS F 10.936 3 2.242 M
|
||||
RA[6] RCLK R 9.514 3 1.971 M
|
||||
RA[6] nCRAS F 10.544 3 2.165 M
|
||||
RA[7] RCLK R 10.933 3 2.261 M
|
||||
RA[7] nCRAS F 11.162 3 2.268 M
|
||||
RA[8] RCLK R 10.591 3 2.178 M
|
||||
RA[8] nCRAS F 11.951 3 2.426 M
|
||||
RA[9] RCLK R 9.668 3 1.989 M
|
||||
RA[9] nCRAS F 10.889 3 2.209 M
|
||||
RBA[0] nCRAS F 8.922 3 1.828 M
|
||||
RBA[1] nCRAS F 10.649 3 2.177 M
|
||||
RCKE RCLK R 8.493 3 1.760 M
|
||||
RDQMH RCLK R 10.817 3 2.273 M
|
||||
RDQML RCLK R 11.739 3 2.471 M
|
||||
RD[0] nCCAS F 9.545 3 2.093 M
|
||||
RD[1] nCCAS F 8.834 3 1.965 M
|
||||
RD[2] nCCAS F 9.535 3 2.092 M
|
||||
RD[3] nCCAS F 9.531 3 2.092 M
|
||||
RD[4] nCCAS F 9.303 3 2.066 M
|
||||
RD[5] nCCAS F 11.766 3 2.555 M
|
||||
RD[6] nCCAS F 9.303 3 2.066 M
|
||||
RD[7] nCCAS F 10.470 3 2.285 M
|
||||
UFMCLK RCLK R 9.190 3 1.937 M
|
||||
RA[1] RCLK R 10.958 3 2.270 M
|
||||
RA[1] nCRAS F 12.371 3 2.529 M
|
||||
RA[2] RCLK R 10.892 3 2.242 M
|
||||
RA[2] nCRAS F 11.786 3 2.402 M
|
||||
RA[3] RCLK R 10.561 3 2.184 M
|
||||
RA[3] nCRAS F 12.541 3 2.560 M
|
||||
RA[4] RCLK R 10.909 3 2.257 M
|
||||
RA[4] nCRAS F 12.060 3 2.456 M
|
||||
RA[5] RCLK R 9.970 3 2.057 M
|
||||
RA[5] nCRAS F 12.271 3 2.508 M
|
||||
RA[6] RCLK R 9.222 3 1.920 M
|
||||
RA[6] nCRAS F 10.844 3 2.210 M
|
||||
RA[7] RCLK R 9.613 3 1.981 M
|
||||
RA[7] nCRAS F 11.686 3 2.379 M
|
||||
RA[8] RCLK R 9.617 3 1.982 M
|
||||
RA[8] nCRAS F 11.487 3 2.339 M
|
||||
RA[9] RCLK R 9.762 3 2.016 M
|
||||
RA[9] nCRAS F 11.488 3 2.337 M
|
||||
RBA[0] nCRAS F 8.925 3 1.828 M
|
||||
RBA[1] nCRAS F 10.608 3 2.153 M
|
||||
RCKE RCLK R 7.609 3 1.570 M
|
||||
RDQMH RCLK R 10.915 3 2.299 M
|
||||
RDQML RCLK R 11.554 3 2.433 M
|
||||
RD[0] nCCAS F 8.539 3 1.899 M
|
||||
RD[1] nCCAS F 9.248 3 2.027 M
|
||||
RD[2] nCCAS F 9.706 3 2.118 M
|
||||
RD[3] nCCAS F 8.539 3 1.899 M
|
||||
RD[4] nCCAS F 9.228 3 2.015 M
|
||||
RD[5] nCCAS F 8.772 3 1.924 M
|
||||
RD[6] nCCAS F 8.539 3 1.899 M
|
||||
RD[7] nCCAS F 9.706 3 2.118 M
|
||||
UFMCLK RCLK R 8.007 3 1.714 M
|
||||
UFMSDI RCLK R 8.007 3 1.714 M
|
||||
nRCAS RCLK R 8.209 3 1.697 M
|
||||
nRCAS RCLK R 8.120 3 1.681 M
|
||||
nRCS RCLK R 6.854 3 1.431 M
|
||||
nRRAS RCLK R 8.021 3 1.650 M
|
||||
nRRAS RCLK R 8.089 3 1.669 M
|
||||
nRWE RCLK R 6.854 3 1.431 M
|
||||
nUFMCS RCLK R 9.650 3 2.046 M
|
||||
nUFMCS RCLK R 8.732 3 1.846 M
|
||||
WARNING: you must also run trce with hold speed: 3
|
||||
WARNING: you must also run trce with setup speed: M
|
||||
|
||||
@@ -1,3 +1,3 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<userSetting name="//Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO256C/promote.xml" version="Diamond (64-bit) 3.12.1.454" date="Thu Sep 21 05:39:05 2023" vendor="Lattice Semiconductor Corporation" >
|
||||
<userSetting name="//Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO256C/promote.xml" version="Diamond (64-bit) 3.12.1.454" date="Sat Jan 06 06:29:54 2024" vendor="Lattice Semiconductor Corporation" >
|
||||
</userSetting>
|
||||
|
||||
@@ -3,7 +3,7 @@
|
||||
<ReportView version="2.0">
|
||||
<Implement name="impl1">
|
||||
<ToolReport id="tooldec" path="" status="0"/>
|
||||
<ToolReport id="toolhle_genhierarchy" path="Y:/Repos/RAM2GS/CPLD/LCMXO256C/impl1/hdla_gen_hierarchy.html" status="1"/>
|
||||
<ToolReport id="toolhle_genhierarchy" path="//Mac/iCloud/Repos/RAM2GS/CPLD/LCMXO256C/impl1/hdla_gen_hierarchy.html" status="1"/>
|
||||
<ToolReport id="toolpio" path="" status="2"/>
|
||||
<ToolReport id="toolsso" path="" status="2"/>
|
||||
</Implement>
|
||||
|
||||
70
CPLD/LCMXO640C/RAM2GS_LCMXO640C_tcl.html
Normal file
70
CPLD/LCMXO640C/RAM2GS_LCMXO640C_tcl.html
Normal file
@@ -0,0 +1,70 @@
|
||||
<HTML>
|
||||
<HEAD><TITLE>Lattice TCL Log</TITLE>
|
||||
<STYLE TYPE="text/css">
|
||||
<!--
|
||||
body,pre{
|
||||
font-family:'Courier New', monospace;
|
||||
color: #000000;
|
||||
font-size:88%;
|
||||
background-color: #ffffff;
|
||||
}
|
||||
h1 {
|
||||
font-weight: bold;
|
||||
margin-top: 24px;
|
||||
margin-bottom: 10px;
|
||||
border-bottom: 3px solid #000; font-size: 1em;
|
||||
}
|
||||
h2 {
|
||||
font-weight: bold;
|
||||
margin-top: 18px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.90em;
|
||||
}
|
||||
h3 {
|
||||
font-weight: bold;
|
||||
margin-top: 12px;
|
||||
margin-bottom: 5px;
|
||||
font-size: 0.80em;
|
||||
}
|
||||
p {
|
||||
font-size:78%;
|
||||
}
|
||||
P.Table {
|
||||
margin-top: 4px;
|
||||
margin-bottom: 4px;
|
||||
margin-right: 4px;
|
||||
margin-left: 4px;
|
||||
}
|
||||
table
|
||||
{
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
border-collapse: collapse;
|
||||
}
|
||||
th {
|
||||
font-weight:bold;
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
text-align:left;
|
||||
font-size:78%;
|
||||
}
|
||||
td {
|
||||
padding: 4px;
|
||||
border-width: 1px 1px 1px 1px;
|
||||
border-style: solid solid solid solid;
|
||||
border-color: black black black black;
|
||||
vertical-align:top;
|
||||
font-size:78%;
|
||||
}
|
||||
a {
|
||||
color:#013C9A;
|
||||
text-decoration:none;
|
||||
}
|
||||
|
||||
a:visited {
|
||||
color:#013C9A;
|
||||
}
|
||||
@@ -1,6 +1,6 @@
|
||||
NOTE Copyright (C), 1992-2010, Lattice Semiconductor Corporation *
|
||||
NOTE All Rights Reserved *
|
||||
NOTE DATE CREATED: Thu Sep 21 05:38:46 2023 *
|
||||
NOTE DATE CREATED: Sat Jan 06 06:25:24 2024 *
|
||||
NOTE DESIGN NAME: RAM2GS *
|
||||
NOTE DEVICE NAME: LCMXO640C-3TQFP100 *
|
||||
NOTE PIN ASSIGNMENTS *
|
||||
|
||||
@@ -15,12 +15,12 @@ I/O cells: 67
|
||||
FD1S3JX 3 100.0
|
||||
GSR 1 100.0
|
||||
IB 26 100.0
|
||||
INV 8 100.0
|
||||
INV 7 100.0
|
||||
OB 33 100.0
|
||||
ORCALUT4 119 100.0
|
||||
PFUMX 2 100.0
|
||||
ORCALUT4 133 100.0
|
||||
PFUMX 1 100.0
|
||||
PUR 1 100.0
|
||||
VHI 1 100.0
|
||||
VLO 1 100.0
|
||||
|
||||
TOTAL 301
|
||||
TOTAL 313
|
||||
|
||||
Some files were not shown because too many files have changed in this diff Show More
Reference in New Issue
Block a user